https://stackoverflow.com/questions/27498221/vim-highlight-matching-begin-end/27549685#27549685
参考以上站点, 根据systemverilog 做了部分修饰:
autocmd 方式, 或者直接把let b:match_words方式二选一.
" #################
" matchit config
" #################
nmap - %
vmap - %
autocmd BufRead,BufNewFile *.v,*.vh,*.sv,*.svh,*.inc,*.dv,*.svi,*.vpp so ~/.vim/matchit/local_matchit.vim
" ## local_matchit.vim ##
" let b:match_words ='\<begin\>:\<end\>,'
" \. '\<function\>:\<endfunction\>,'
" \. '\<task\>:\<endtask\>,'
" \. '\<class\>:\<endclass\>,'
" \. '\<interface\>:\<endinterface\>,'
" \. '\<clocking\>:\<endclocking\>,'
" \. '\<fork\>:\<join\(_none\)*\(_any\)*\>,'
" \. '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,'
" \. '`if\(n\)*def\>:`else\>:`endif\>,'
" \. '\<module\>:\<endmodule\>,'
endif
packadd! matchit