verilog 通过function 递归, 数据拓展

module test();

 

  parameter NBYTES      = 4   ;

 

  reg [511:0] tb_stream       ;

  reg [511 : 0] realstream    ;

  reg [31  : 0]        packet ;

 

  initial begin

      // packet = $random;

      packet = 'ha5;

      tb_stream = {NBYTES{packet[7:0]}};

 

      $display($realtime,,"packet = %h, realstream = %0h [start]",packet,tb_stream);

      realstream = getrealstream(tb_stream,NBYTES);

 

      $display($realtime,,"packet = %h, realstream = %0h [done]",packet,tb_stream);

  end

 

  function [511:0] getrealstream; // defualt is wire

    input [511:0] stream;

    input nbytes;

    integer nbytes;

    integer i;

    begin

            $display($realtime,,"stream = %0h ", stream);

        for(i= 0; i < 512; i = i+1)

        begin

            if(i < nbytes*8) getrealstream[i] = stream[i];

            else getrealstream[i] = 1'b0;

 

            $display($realtime,,"[i] = %3d , getrealstream[i] = %0h, getrealstream = %h",i,getrealstream[i],getrealstream);

        end

    end

  endfunction

 

endmodule

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

lhwcake

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值