UVM中starting_phase

UVM中starting_phase

  • 在UVM中,由于driver以及monitor中main_phase常常是一个死循环,故将仿真进程控制常常放在sequence中。
  • 启动sequnce的方法
    使用default_sequence方法。
    使用start手动启动sequence。
  • starting_phase设置方法
    在uvm1.1中采用default_sequence中会自动给starting_phase进行复制,但是uvm1.2不建议使用default_sequence,不会给starting_phase。所以在uvm1.2中,即使使用了default_sequence,sequence中starting_phase仍然为null。
  • 建议采用start手动启动sequence,手动给starting_phase赋值,既然保证UVM版本的兼容性,也能增加代码的灵活性。
  • 5
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值