verilog中parameter与localparam的区别

parameter:用于顶层模块与底层模块之间的参数传递,并行例化的模块也可以使用,相当与全局常量;
localparam:仅限于本module内部使用,并行例化的模块不可调用,相当于局部常量。
注意:模块例化时,无论parameter还是localparam都只能赋值一次,例化之后,其值不再发生改变,所以赋值式子右边不能是变量。

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值