Verilog:parameter、localparam的区别和用法

一、区别

parameter: 可以在实例化时修改参数值

localparam:只能在当前模块使用,不能进行实例化

二、用法

2.1 设计文件中parameter的用法

直接在模块名后面 #(parameter 参数名=参数值)

module top_FIFO_ly #(
    parameter FIFO_DEPTH_16 = 16,
    parameter ADDR_4 = 4
)
(
    //写
    input                        w_en,
    input                        w_clk,
    input                        w_rst_n,
    input   [FIFO_DEPTH_16-1:0]  w_data,

    output                       w_full,

    //读
    input                        r_en,
    input                        r_clk,
    input                        r_rst_n,
    output                       r_empty,
    output  [FIFO_DEPTH_16-1:0]  r_data
);

2.2 例化模块时parameter的用法

在模块名后面直接 #(.参数名 (参数值))
在这里插入图片描述

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值