自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

limanjihe的专栏

点滴积累

  • 博客(28)
  • 资源 (6)
  • 收藏
  • 关注

转载 分频电路

第一次写技术性的blog,就先选择一个看似简单的话题吧。     无论从算法上还是逻辑上,这个题目都非常简单,但是对于ASIC工程师,恐怕却是一个不小的挑战。     首先,看看我们的目标:       很简单吧,只要在响应的输入时钟沿上产生输出的翻转就可以了。但是对于ASIC工程师,却有很多东西是值得讨论的。      1.行为级的实现是非常简单的,只要你会写

2016-09-27 00:05:02 2215

转载 IC设计经典书籍

学习数字IC设计一段时间,总结一下自己学习期间遇到的比较好的书籍,希望能给大家一个指导和借鉴,同时也希望大家相互学习交流。 1  《Verilog HDL高级数字设计》      中文版和原著。这本书本人以为是讲Verilog方面的最好的一本书,看完此书后,相信大家的code水平会有很大提高。书中例子及其丰富,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触

2016-09-26 22:08:28 9557

转载 IC设计流程概述

芯片设计流程概述芯片设计分为前端设计和后端设计,前端设计(也称逻辑设计)和后端设计(也称物理设计)并没有统一严格的界限,涉及到与工艺有关的设计就是后端设计。1. 规格制定芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。2. 详细设计Fabl

2016-09-26 21:59:55 11385 4

转载 代码覆盖率浅谈

reference:http://www.cnblogs.com/coderzh/archive/2009/03/29/1424344.html代码覆盖率浅谈在做单元测试时,代码覆盖率常常被拿来作为衡量测试好坏的指标,甚至,用代码覆盖率来考核测试任务完成情况,比如,代码覆盖率必须达到80%或 90%。于是乎,测试人员费尽心思设计案例覆盖代码。用代码覆盖率来衡

2016-09-13 13:43:10 6477 1

转载 verilog入门经验(一 ) a lways块使用

1. 信号的产生及always块使用注意事项    1.1 不要在不同的always块内为同一个变量赋值。即某个信号出现在          所以注意,在产生一个信号时,所有产生该信号的条件都应放在一个always块内考虑。    1.2 不要在同一个always块内同时使用阻塞赋值(=)和非阻塞赋值(    1.3 使用always块描述组合逻辑时使用阻塞赋值(=),在使用alw

2016-09-09 18:12:00 807

原创 记一次FPGA特别低级的错误

遗忘掉进制信息造成的ERROR.如果没有进制信息,38译码器输出的结果十分令人蛋疼,反应了一会才发,原理进制信息忘了。编译提醒,没有顶层文件。反应了一会发现,工程名字和文件名字不一致。也有可能出现,没有将问价加载近Project Navigator的情况。

2016-09-06 01:22:16 765

转载 原码, 反码, 补码 详解

reference : http://www.cnblogs.com/zhangziqiu/archive/2011/03/30/ComputerCode.html本篇文章讲解了计算机的原码, 反码和补码. 并且进行了深入探求了为何要使用反码和补码, 以及更进一步的论证了为何可以用反码, 补码的加法计算原码的减法. 论证部分如有不对的地方请各位牛人帮忙指正! 希望本文对大家学习计算机基础有

2016-09-05 15:31:22 1467 2

转载 定点数运算

图1:原补码关系图补码的设计目的:   (1)使符号位能与有效值部分一起参加运算,从而简化运算规则.   (2)使减法运算转换为加法运算,进一步简化计算机中运算器的线路设计   所有这些转换都是在计算机的最底层进行的,而在我们使用的汇编、C等其他高级语言中使用的都是原码。定点数运算包括移位、加、减、乘、除几种。一、移位运算   1.移位的意义  移位运算

2016-09-05 15:21:00 32202 3

转载 FPGA开发流程:详述每一环节的物理含义和实现目标

reference:http://www.dzsc.com/data/2015-3-16/108011.html要知道,要把一件事情做好,不管是做哪们技术还是办什么手续,明白这个事情的流程非常关键,它决定了这件事情的顺利进行与否。同样,我们学习FPGA开发数字系统这个技术,先撇开使用这个技术的基础编程语言的具体语法、使用工具和使用技巧不谈,咱先来弄清楚FPGA的开发流程是什么。FPGA

2016-09-04 23:48:22 6309

转载 若FPGA开发板没有AS端口,如何永久保存程序?

reference : http://bbs.elecfans.com/jishu_935978_1_1.html前面工程已经全都配置好了,也全都编译了一次后,就可以把程序下载的开发板进行运行了。在菜单栏中找到Tools--> Programmer,打开后进入如下界面。这时,没有自动识别到下载器。点击 “Hardware Setup…”

2016-09-04 22:15:57 2135

转载 DC 概论之一 setup time 与 hold time(1)

http://www.blogbus.com/bb2hh-logs/20463915.html ic代码的综合过程可以说就是时序分析过程,dc会将设计打散成一个个路经,这些路经上有cell延迟和net延迟,然后dc会根据你加的约束,来映射库中符合这种延迟以及驱动的器件。从而达到综合的目的。dc的所有时序约束基础差不多就是setup time 和 hold time。 可以用下面的图

2016-09-04 20:23:48 3117

转载 芯片设计:verilog断言(SVA)语法

reference : http://blog.sina.com.cn/s/blog_4c270c730101f6mw.html    断言assertion被放在verilog设计中,方便在仿真时查看异常情况。当异常出现时,断言会报警。一般在数字电路设计中都要加入断言,断言占整个设计的比例应不少于30%。以下是断言的语法:1. SVA的插入位置:在一个.v文件

2016-09-04 08:42:00 23240

转载 VCS使用学习

reference : http://blog.chinaaet.com/weiqi7777/p/36105          最近在学习VCS,现将VCS的一些使用心得记录下来。           VCS是synopsys的仿真verilog的仿真器。基于linux系统。有命令行模式和图形化模式。图形化模式是用的dve。            以串口verilog代码使

2016-09-04 08:14:54 6865 1

转载 使用VCS生成覆盖率报告

reference : http://blog.chinaaet.com/weiqi7777/p/5100017808         对于vcs工具,支持生成覆盖率报告,通过查看覆盖率报告,即可知道设计中有什么问题。          要生成覆盖率报告,要在编译和仿真的时候,加入一个选项。          -cm  line | fsm | tgl | cond , 指

2016-09-04 08:11:40 25698 5

转载 vcs编译systemverilog并且用verdi查看波形

reference : http://blog.chinaaet.com/weiqi7777/p/5100017757         对于编写的systemverilog代码,在linux下,可以使用vcs编译,但是编译的时候,要注意,要加入几个选项。          整个过程,linux使用的是64位的redhat,vcs使用的是vcs2015,verdi使用的是verdi201

2016-09-04 08:09:41 35857

原创 python自学历程

reference : http://www.liaoxuefeng.com/wiki/001374738125095c955c1e6d8bb493182103fac9270762a000SEP.3.2016:决定学一下Python语言,在实际的项目中,需要用到这门语言所写的脚本,了解一下基本的功能,方便以后更大的提升。      在互联网上查找一番发现,《Python 2.7教程

2016-09-03 19:51:15 702

转载 python发送邮件

reference : http://www.cnblogs.com/lonelycatcher/archive/2012/02/09/2343480.html可以使用Python的email模块来实现带有附件的邮件的发送。SMTP (Simple Mail Transfer Protocol)  邮件传送代理 (Mail Transfer Agent,MTA) 程序使用SMTP协

2016-09-03 19:42:33 289

转载 python import的用法

【转自http://blog.sina.com.cn/s/blog_4b5039210100ennq.html】reference : http://www.cnblogs.com/qi09/archive/2012/02/09/2344148.html在python用import或者from...import来导入相应的模块。模块其实就是一些函数和类的集合文件,它能实现一些相应的功能

2016-09-03 19:38:14 433

转载 亚稳态和毛刺小结

1首先介绍一下建立时间和保持时间的基本概念:1.1建立时间和保持时间:                                                                                                                                                                 

2016-09-03 19:33:55 4453

原创 亚稳态和毛刺—— Meta-stability and Hazard

亚稳态和毛刺—— Meta-stability and Hazard我们在芯片设计与调试中,一定曾经遇到过一些诡异的问题。比如芯片的某一部分莫名其妙的复位却并没有故障记录、比如有的问题上下电和复位表现不一。甚至在有的FPGA项目后期,每一次重布局布线都是对人品的考验,祈祷在下一个版本中,Voldemort不会出现。根本原因是多方面的,但是不难发现亚稳态和毛刺的影子。

2016-09-03 18:42:56 2906

转载 fg,bg,jobs.&,ctrl+z

fg、bg、jobs、&、ctrl + z都是跟系统任务有关的,虽然现在基本上不怎么需要用到这些命令,但学会了也是很实用的一。& 最经常被用到这个用在一个命令的最后,可以把这个命令放到后台执行二。ctrl + z可以将一个正在前台执行的命令放到后台,并且暂停三。jobs查看当前有多少在后台运行的命令四。fg将后台中的命令调至前台继续运行如果后台中有多个命令,可以

2016-09-03 15:51:53 281

原创 对BOOTH乘法器的理解

reference : http://chengcheng198897.blog.163.com/blog/static/198067355201263033911246/在微处理器芯片中,乘法器是进行数字信号处理的核心,同时也是微处理器中进行数据处理的关键部件。乘法器完成一次操作的周期基本上决定了微处理器的主频。乘法器的速度和面积优化对于整个CPU的性能来说是非常重要的。

2016-09-02 16:55:03 15324 3

转载 处女项目后关于IC验证经验的总结

reference : http://www.cnblogs.com/jyaray/archive/2011/05/11/2043091.html完整的、详细的设计规范是验证工作的重要起点。验证工作根据设计规范(specification)进行,详细的spec是RTL代码编写工作的依据,也是验证工作的依据。当验证过程发现DUT的响应与testbench预计的不符时,需要根据spec判断

2016-09-02 09:25:57 4592

转载 tingtang13有参考价值的系列博客

UVM:2.2只有driver的验证平台->2.2.1最简单的验证平台1.DUT代码如下:[html] view plain copy module dut(clk,             rst_n,              rxd,             rx_dv,             txd,             tx_en

2016-09-02 09:19:11 609

转载 UVM中的regmodel建模(一)

reference : http://www.cnblogs.com/-9-8/p/4465746.html (有参考价值)UVM中的regmodel继承自VMM的RAL(Register Abstract Layer),现在可以先将寄存器模型进行XML建模,再通过Synopsys         家的工具ralgen来直接生成regmodel,提供后门访问,十分方便。 寄存器

2016-09-02 09:15:11 3979

转载 FPGA产生基于LFSR的伪随机数

reference: http://www.cnblogs.com/BitArt/archive/2012/12/22/2827005.html1.概念  通过一定的算法对事先选定的随机种子(seed)做一定的运算可以得到一组人工生成的周期序列,在这组序列中以相同的概率选取其中一个数字,该数字称作伪随机数,由于所选数字并不具有完全的随机性,但是从实用的角度而言,其随机程度已足够

2016-09-01 16:31:10 5918 1

转载 边沿检测和异步复位

reference : http://www.cnblogs.com/funny-xiaomao/archive/2012/06/20/2556202.html最近要应付考试,还要准备六级,学FPGA的时间自然就少了。考完六级就好了,不过貌似这次可能又过不了了。这几天做了下边沿检测和异步复位同步释放的实验。突然觉得那么不好理解的东西现在觉得还蛮有意思的。    边沿检测。以前做按键消抖

2016-09-01 11:04:09 1026

转载 Verilog中阻塞与非阻塞语句

reference :   http://www.cnblogs.com/funny-xiaomao/archive/2012/06/20/2556178.html这几天一直在纠结阻塞与非阻塞的问题,到现在基本弄清楚了。在纠结这个问题的时候,还顺便弄清楚了前仿真与后仿真,Verilog的分层事件队列,使用系统任务的一些原则等。这些问题以后再说,现在只谈一下我对阻塞与非阻塞的理解。

2016-09-01 10:11:21 565

Xilinx HDMI ZCU106 HDMI_TX_SS

基于 VIVADO2019.2的带bit/vitis完整工程

2022-06-08

SoC设计方法与实现

适合刚入门的学生看

2017-05-02

步步惊“芯”_软核处理器内部设计分析(第一部分)

由于文件限制在60M内所以分成两个压缩包上传。

2017-03-31

步步惊“芯”_软核处理器内部设计分析(第二部分)

由于文件限制在60M内所以分成两个压缩包上传。

2017-03-31

SystemVerilog 验证方法学

对新一代的验证语言SYSTEM VERILOG进行了详细的介绍,有利于验证工程师进一步提升技能。

2015-10-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除