Xilinx FPFA中LUT4_L 元件的使用

本文介绍了如何在FPGA设计中使用Xilinx的LUT4_L元件,通过VHDL实例化模板展示了元件的调用方式,并提供了一个时钟上升沿时I[3:0]取反的示例代码,以减少综合后的寄存器数量。
摘要由CSDN通过智能技术生成

    最近看关于FPGA的结构,发现LUT实际上是可以使用原语或者原理图中添加symbol调用。symbol information中有关于这个元件的例化原语。

----------------------------------------------------------------------------------------------------------------------

---------------VHDL Instantiation Template

Unless they already exist, copy thefollowing two statements and paste them before the entity declaration.

Library UNISIM;

use UNISIM.vcomponents.all;

 

-- LUT4_L: 4-input Look-Up Table with localoutput

 

-- Xilinx HDL Libraries Guide, version 10.1

LUT4_L_inst : LUT4_L

generic map (

INIT => X"0000")

port map (

LO => LO, -- LUT local output

I0 => I0, -- LUT input

I1 => I1, -- LUT input

I2 => I2, -- LUT input

I3 => I3 -- LUT input

);

 

-- End of LUT4_L_inst instantiation

 

-------------------------------------

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值