任意奇数分频

 module clk_div //任意奇数分频,其分频率由N决定
        (clk_out,reset,clk_in);
       
        output clk_out;
        input reset,clk_in;
        reg clk_out;
        reg clk1,clk2;
        reg [2:0] counter;
       
        parameter N=3;//N=3表示三分频
       
        always        @        (posedge clk_in)
                if(!reset)
                        begin
                        clk1<=0;
                        counter<=0;
                        end
                else
                        begin
                                counter<=counter+1'b1;
                                if(counter==(N-1)/2)
                                        clk1<=~clk1;
                                else if(counter==(N-1))
                                        begin
                                        clk1<=~clk1;
                                        counter<=0;
                                        end
                        end       
               
        always       @         (negedge clk_in)
                begin
                if(!reset)
                        clk2<=0;
                else
                        clk2<=clk1;
                end
               
        always        @        (clk1        or        clk2)
                begin
                clk_out<=clk1        |        clk2;
                end
       
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值