锁相环PLL的倍频

以前学STM32的时候就知道了倍频这个概念。开发板上外接8M晶振,但是STM32主频却能跑72M,这离不开锁相环(PLL)的作用。之后在使用FPGA的时候,直接有PLL这个IP核提供给我们使用,实现自己想要的频率。但是当我们使用的时候,锁相环倍频的原理我们清楚吗?下面就来简要分析下倍频的原理。

  首先,我们需要了解下锁相环的组成。锁相环是由一个鉴相器(PD)、低通滤波器(LPF)和压控振荡器(VCO)组成。结构图如下:

  PLL需要有一个参考频率fi。输出频率为fo,参考频率与输出频率同时送入鉴相器。鉴相器的作用是检测输入信号和输出信号的相位差,并将检测出的相位差信号转换成uD(t)电压信号输出。当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环的名称由来。转换后的电压信号经低通滤波器滤波后形成压控振荡器的控制电压uC(t),对振荡器输出信号的频率实施控制。压控振荡器(VCO)的控制特性如下:

当VCO的输入电压为0时,即输出频率fo=fi。

那么是如何实现倍频的呢?其实就是对输出fo作N分频,即fN,将分频后的频率送入鉴相器中与参考频率进行比较。当PLL进入锁定状态时,输出频率fo就实现了倍频,此时fo=N*fi。

 

换句话说:

输入4MHZ基准频率倍频到40M,并不是说把4M×10倍后输出,而是这样的:


输入4M后,锁相环会产生一个大致的频率比如35M,经过分频后35M÷10倍=3.5M,显然3.5


比4M要小,说明了这个频率太低,那么提高频率,38M,除以10后3.8仍然比4小,继续提升


,当然这是很快的过程。一段时间后,提升到4M,发现这时已经与基准输入相等了,那么说


明10倍分频之前确实是40M,那么就可以把这个40MHZ信号就可以放心大胆的使用了。40M


分频只是成4M只是为了与基准的4M相比较,不足则提升频率。

输出波行与输入波形相位一致,在很多场合特别是数字电路很重要,这也是锁相环这名字的来历

  • 34
    点赞
  • 80
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
### 回答1: PLL (Phase-Locked Loop) 倍频是一种数字电路技术,可以将输入信号的频率放大一定倍数。PLL是由锁相环、VCO(Voltage-Controlled Oscillator,电压控制振荡器)、频率除法器和相位比较器等组成。在Verilog中,可以使用Verilog HDL(Hardware Description Language,硬件描述语言)来实现PLL倍频功能。 Verilog HDL是一种硬件描述语言,广泛用于设计、模拟和综合数字电路。编写Verilog代码可以描述电路的功能、时序和结构。在使用Verilog实现PLL倍频时,可以按照以下步骤进行: 1. 定义输入和输出信号端口:在代码中声明输入信号的端口和输出信号的端口,以及其他需要的参数。 2. 实例化模块:将PLL模块实例化到主模块中,即将PLL模块调用到主模块中,以便进行连接和调用。 3. 配置参数:对PLL模块进行参数配置,例如将输入频率设置为特定的值,配置VCO的倍频系数等。 4. 编写时序逻辑:根据PLL的工作原理,编写时序逻辑代码,例如设置锁定时钟、相位比较、锁相环控制等。 5. 进行仿真和综合:使用仿真工具对Verilog代码进行验证,并使用综合工具进行综合,生成实际的硬件电路。 通过上述步骤,可以使用Verilog HDL实现PLL倍频功能。在实际应用中,PLL倍频广泛用于时钟同步、频率合成、数据通信和数字信号处理等领域。相比于传统的电路设计方法,使用Verilog来实现PLL倍频可以提高设计效率和可靠性。 ### 回答2: PLL倍频(Phase-Locked Loop Frequency Multiplier)是一种常用的数字电路设计技术,用于将输入时钟信号的频率提高(倍频)到更高的频率。 PLL倍频的Verilog实现可以有多种方法,下面简单介绍其中一种常见的实现方式。 首先,在Verilog中定义一个模块,命名为PLL倍频器。该模块包含输入端口clk_in,输出端口clk_out和几个内部信号。 然后,在模块内部,使用寄存器来存储PLL倍频器的状态,如计数器的当前值和比较值。 接下来,在该模块中,可以使用时钟分频器将输入时钟信号分频,生成一个低频的时钟信号。接着,将该低频信号输入到一个计数器中,并和一个预设的比较值进行比较。 当计数器的当前值等于比较值时,表示已经完成了一个时钟周期,此时可以将倍频后的时钟信号输出,并将计数器的当前值重新设置为0,开始下一个时钟周期。 最后,使用模块实例化的方式,将该PLL倍频器模块连接到其他电路中,以实现倍频功能。 需要注意的是,PLL倍频器的设计不仅涉及到Verilog的语法和模块的连接,还需要考虑到具体的时钟频率要求、时钟分频器的设置以及比较值的选择等因素,以保证倍频后的时钟信号符合设计要求。 总结起来,PLL倍频是一种常用的数字电路设计技术,通过Verilog语言实现时,可以使用计数器、比较值等内部信号来实现对输入时钟信号的倍频操作,最终输出倍频后的时钟信号。具体的实现方法需要根据具体的设计要求进行选择和调整。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值