verilog中二维数组的初始化

一次笔者在项目中为了保存can数据,8bit,8Byte,定义了一个二维数组。如下reg [7:0] SEND_DATA[7:0] ;在初始化过程中遇到问题,SEND_DATA<='d0。报错。后来经过查找语法书籍:不能在单次赋值操作时把某个值赋值给一个范围。所以报错。后来改为如下代码后正确。
在这里插入图片描述

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值