linux中vim/gvim的安装与verilog,systemverilog语法高亮显示

linux中vim/gvim的安装参考如下链接:

https://blog.csdn.net/Stone_Age/article/details/47276995?utm_source=blogxgwz8

    安装完后,在用户目录下vim和gvim都能正常使用。但不能进行systemverilog语法高亮,参考网上的一些高亮做法,一直会报错。

    安装后我出现了backspace不能删除的现象,通过在.vimrc中加入如下命令解决了:

   set backspace=2

   注意backspce和=和2之间不能有空格。

 

第一种高亮做法:

1,准备好高亮的文本。我自己用的是verilog_systemverilog.vim文件,已经上传至我的CSDN

      https://download.csdn.net/download/llxxyy507/11146546

2,在家目录下的.vimrc配置文件中添加一下命令打开

    syntax on                     "确定vim打开语法高亮"

    filetype on                    "打开文件类型检测"

    filetype plugin on         "为特定的文件类型允许插件文件的载入"

    filetype indent on         "为特定的文件类型载入缩进文件"

3,在自己的主目录下(一般是 /home/用户名)新建名为 .vim 的隐藏文件夹,在 .vim 文件夹内新建两个文件夹,分别是 ftdetect 和 syntax。操作如下:

    cd ~

    mkdir .vim/ftdetect

    mkdir .vim/syntax

4,在 ftdetect目录下新建文本文件名为 sv.vim ,具体内容仅为一行。

     au BufRead,BufNewFile *.sv set filetype=verilog_systemverilog

5,将 verilog_systemverilog.vim 放到 syntax 目录下。

6,重新打开 vim/gvim 就可以了。

 

  我这样操作后打开vim/gvim 一直会报错,而且也无法高亮显示。

   摸索了很长时间发现是 au BufRead,BufNewFile *.sv set filetype=verilog_systemverilog

   这句话中BufNewFile与*号之间有个空格,加入空格后就不报错了。

 

第二种高亮做法:

    由于第一种做法最开始没有操作成功,我又找到了一个别的办法来正确高亮。

    只需要verilog_systemverilog.vim文件放入/opt/vim74/share/vim/vim74/plugin目录下,就可以了

 

  • 21
    点赞
  • 113
    收藏
    觉得还不错? 一键收藏
  • 11
    评论
vim是一款强大的文本编辑器,支持丰富的语法高亮功能。在使用vim编辑器进行Verilog代码编写时,可以通过一些设置实现Verilog语法高亮显示。 首先,确保在vim已经安装verilog插件。可以通过vim插件管理器(如Vundle或者Pathogen)进行安装,或者手动将相关插件文件放置在vim的插件目录安装verilog插件后,需要设置vim的配置文件以启用语法高亮功能。找到并打开vim配置文件(通常是~/.vimrc),添加如下内容: ```vim syntax enable " 启用语法高亮 filetype plugin indent on " 启用自动识别文件类型和缩进功能 ``` 保存并退出配置文件。 之后,在vim打开一个Verilog文件,就可以看到代码根据语法高亮显示了。不同的代码元素,如关键字、变量、数字、注释等,会以不同的颜色突出显示,以帮助编程者更容易地阅读和调整代码。 此外,还可以根据个人的喜好和需求,自定义vimVerilog语法高亮的颜色。在vim配置文件,找到或添加如下内容: ```vim highlight VerilogKeyword ctermfg=green " 定义关键字颜色为绿色 highlight VerilogIdentifier ctermfg=blue " 定义变量标识符颜色为蓝色 ``` 以上是一个简单的设置示例,可以根据自己的需求进行进一步的颜色定义和个性化设置。 通过以上步骤,就可以在vim实现Verilog语法高亮显示,提高代码编写的效率和准确性。
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值