EEPROM的verilog HDL程序

抄了一下《Verilog数字系统设计教程》模拟MCU通过IIC随机读写EEPROM的程序。

程序说明:

本程序用于模拟IIC,对EEPROM采取字节写和字节读的方式进行操作。

协议内容:

1.只有在总线处于“非忙”状态时,数据传输才能开始。在数据传输期间,只要时钟线为高电平,数据线都必须保持稳定,否则数据线上的任何变化都会被当作“启动”或“停止”信号。

2.1总线“非忙”状态:该段内数据线SDA和时钟线SCL都保持高电平;

2.2

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值