FPGA等精度测量法测信号频率

  硬件描述语言Verilog早在实验课上有所接触,但这些天才开始慢慢尝试把它实际用起来做一些事,总体感觉硬件描述语言与C语言相比虽然语法上还有比较相似的地方,但两种编程过程中的思维方式完全不一致,FPGA/CPLD本身是要求开发者在开发过程中以硬件的映射将其描述出来,即便是自顶向下的设计方式中,你不必考虑硬件的细节,但综合过程后你依然可以看到代码所对应的硬件原理图生成。

 并发,进程,阻塞,非阻塞,布线等概念更是颠覆我对于FPGA的印象,从前初次接触认为HDL语言很多余的天真想法在逐渐学习的过程中全然消散,FPGA的设计思想,让我感觉踏入了一片从未涉足过的新大陆。

 

当然FPGA也有自己的一些缺点,例如做个八位以上的除法都要写个模块或者调用,论纯运算能力

  • 1
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值