在远程FPGA虚拟实验平台上验证七段译码器

VirtualBoard模块代码

`default_nettype none 
module VirtualBoard (
    input  logic  CLOCK,      // 10 MHz Input Clock 
    input  logic [19:0] PB,   // 20 Push Buttons, logical 1 when pressed
    input  logic [35:0] S,    // 36 Switches
    output logic [35:0] L,    // 36 LEDs, drive logical 1 to light up
    output logic  [7:0] SD7,  // 8 common anode Seven-segment Display
    output logic  [7:0] SD6,
    output logic  [7:0] SD5,
    output logic  [7:0] SD4,
    output logic  [7:0] SD3,
    output logic  [7:0] SD2,
    output logic  [7:0] SD1,
    output logic  [7:0] SD0
); 


SevenSegDecode ssd_inst(.iData(S[11:8]),.oSeg(SD1));
assign L[7:0]=SD1;
endmodule

SevenSegDecode模块代码

`default_nettype none 
module SevenSegDecode(
  input logic [3:0]iData,
  output logic [7:0]oSeg
  );
  always_comb
  begin
  case(iData)
  4'b0000:oSeg=8'b11000000;   //0
  4'b0001:oSeg=8'b11111001;   //1
  4'b0010:oSeg=8'b10100100;   //2
  4'b0011:oSeg=8'b10110000;   //3
  4'b0100:oSeg=8'b10011001;   //4 
  4'b0101:oSeg=8'b10010010;   //5
  4'b0110:oSeg=8'b10000010;   //6
  4'b0111:oSeg=8'b11111000;   //7
  4'b1000:oSeg=8'b10000000;   //8
  4'b1001:oSeg=8'b10010000;   //9
  4'b1010:oSeg=8'b10001000;  //A
  4'b1011:oSeg=8'b10000011;  //b
  4'b1100:oSeg=8'b11000110;  //C
  4'b1101:oSeg=8'b10100001;  //d
  4'b1110:oSeg=8'b10000110;  //E
  4'b1111:oSeg=8'b10001110;  //F
  default:oSeg=8'b11111111;
  endcase
  end
  endmodule

在远程FPGA实验平台验证七段译码器

第一步:申请实验板

点击开始实验按钮 ,申请实验板。实验板申请成功后会提示:“已成功获取硬件设备,请点击“FPGA 编程”,并且按钮图标变为 。
在这里插入图片描述

第二步:加载 FPGA 电路

开始验证之前首先要将之前编译生成的FPGA电路文件加载到FPGA芯片中(也称配置、编程)。点击“FPGA 编程”,在打开的文件对话框中选择之前编译生成的 xxx.rbf 文件后开始编程,编程过程中会出现进度条,完成后会有提示“文件加载完成

第三步:. 操作虚拟面板

打开不同的开关数码管会显示不同的数据。
在这里插入图片描述

第四步:提交

点击完成实验按钮释放实验板资源。然后按要求提交文件。

  • 2
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值