远程FPGA虚拟实验平台用SystemVerilog HDL实现七段译码器

本文介绍了如何在远程FPGA虚拟实验平台上使用SystemVerilog实现五位二进制数转换为七段显示的七段译码器。通过在VirtualBoard模块中实例化SevenSegDecode模块,并调整输入信号,实现了从二进制到七段码的转换。同时,给出了SevenSegDecode模块的详细代码,包含了一个case语句来处理不同二进制输入对应的七段显示。在提交作业时,需包括七段译码器模块的源代码。
摘要由CSDN通过智能技术生成

在远程FPGA虚拟实验平台用SystemVerilog HDL实现七段译码器

源代码

在远程FPGA虚拟实验平台用SystemVerilog HDL实现五位二进制数用七段译码器显示为十六进制数需要将代码分为两个模块。

VirtualBoard模块

在已有的VirtualBoard.sv中,需要在模块内加入七段译码器模块实例化的语句。所以VirtualBoard.sv中的所有代码如下:

`default_nettype none 
module VirtualBoard (
    input  logic  CLOCK,      // 10 MHz Input Clock 
    input  logic [19:0] PB,   // 20 Push Buttons, logical 1 when pressed
    input  logic [35:0] S,    // 36 Switches
    output logic [35:0] L,    // 36 LEDs, drive logical 1 to light up
    output logic  [7:0] SD7,  // 8 common anode Seven-segment Display
    output logic  [7:0] SD6,
    output logic  [7:0] SD5,
    output logic  [7:0
  • 5
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值