多周期移位寄存器

前一段时间因为比较烦躁,对这个的理解不够,导致盲目瞎做,出了很多问题,就一直没有接着往下做,今天仔细想来一下发现其实很简单


代码如下


time  /

module sysclk(
  CLK   ,   // clock
  OV    );  // overflow
input CLK;
output OV;
parameter MAX_VAL = 25_000_000;
reg [32-1:0] CNTVAL;
reg OV;


always @ (posedge CLK) begin
  if(CNTVAL >= MAX_VAL)
    CNTVAL = 0;
  else
    CNTVAL = CNTVAL + 1'b1;
end


always @ (CNTVAL) begin
  if(CNTVAL == MAX_VAL)
    OV = 1'b1;
  else
    OV = 1'b0;
end


endmodule




module  shift_register(
 IN   ,//input
 OUT  ,//output
 EN  ,
 DIR ,
 CLK  );


input IN,CLK ,EN,DIR;
output [long-1:0] OUT ;
parameter long = 8;
reg [long-1:0] shift;
//reg [long-1:0] OUT;
assign OUT[long-1:0] = shift[long-1:0];   
always @(posedge CLK) begin
if(EN) begin
if(DIR) begin
shift[long-1:1] = shift[long-2:0];
shift[0]=IN;
end
else begin
shift[long-2:0] = shift[long-1:1];
shift[7]=IN;
end
end
else 
shift[long-1:0] = shift[long-1:0];


end

endmodule 


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值