统计输入[7:0]data_in中1的个数,要求优化资源的使用

本文探讨了如何用Verilog高效地统计7位输入数据(data_in)中1的个数,提供了三种方法:1) 通过逐位加法,2) 使用4输入查找表,以及3) 尝试快速算法(未实现)。作者分享了前两种方法的原理图,并邀请读者用Verilog实现未完成的快速算法,附带了test bench。
摘要由CSDN通过智能技术生成

如题,Verilog实现,奉上拙见

方法1:各位做加法

module count_one_add(
    input clk,
    input rst_n,
    input [7:0] d_in,
    output [3:0] d_out
    );
    
    assign d_out=d_in[0]+d_in[1]+d_in[2]+d_in[3]+d_in[4]+d_in[5]+d_in[6]+d_in[7];
endmodule

方法1原理图
方法1:RTL原理图

方法2:4输入查找表

  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值