SOC设计之分频器

偶数分频:(占空比50%)
偶数N分频比较简单,设定计数器,计数值达到N/2-1时翻转,即可得N分频

module fre_div_even
#(
parameter CNT_WIDTH = 32'd 5, //计数器位宽
parameter DIV_NUM = 32'd 4   //分频数
	)
(
input clk,   
input rstn, 
output reg Data,  
output reg [CNT_WIDTH-1:0] CNT
	);

always @(posedge clk or posedge rstn) 
begin
	if (!rstn) 
		begin
		CNT <= 0;	// reset
		Data <= 0;	
		end
	else if (CNT < DIV_NUM/2-1)
		begin
		CNT <= CNT + 1'b1;	
		end
	else 
		begin
		CNT <= 0;
		Data <= ~Data;
		end
end

endmodule

tb:

奇数分频:(50%占空比 )
奇数N分频设置两个clk_a/clk_b,分别对clk正边沿/负边沿跳转,设定两个计数器分别在(N-1)/2和N-1跳转得Data_a/Data_b,最后将两个相或即可得Data
ps:!-逻辑取反  ~按位取反

module fre_div_odd
#(
parameter CNT_WIDTH = 32'd10,
para
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值