【毕业设计—DDS信号发生器】Quartus II 软件新建工程

文章讲述了作者进行本科毕业设计的过程,专注于DDS信号发生器的创建。作者详细介绍了如何在QuartusII13.1版本中新建工程,包括目录结构设定、工程向导的步骤、选择目标器件以及创建VerilogHDL源代码文件。
摘要由CSDN通过智能技术生成

从今天起,做一个知识分享者。

本科毕业设计 —— DDS信号发生器

大学四年的时间转瞬即逝,2023年我将迎来我的本科毕业。为了记录自己的研究进展,我将在这儿分享我的毕业设计进度~~博客涉及的知识点,如有不对,欢迎大家及时纠正,共同进步!

首先,让我们学习如何在Quartus II 软件新建工程。

我安装的是Quartus II 13.1 版本。

1.在电脑D磁盘下新建一个文件夹【DDS】,然后分别新建4个子文件夹【doc】、【par】、【rtl】、【sim】。doc 文件夹用于存放项目相关的文档,par 文件夹用于存放 Quartus 软件的工程文件,rtl 文件夹用于存放源代码,sim 文件夹用于存放项目的仿真文件。

在这里插入图片描述

2.打开Quartus II 软件,在菜单栏上选择【File】→【New Project Wizard…】来新建一个工程。打开新建工程向导说明页面。

在这里插入图片描述
在这里插入图片描述
3.第一栏用于指定工程所在的路径;第二栏用于指定工程名,这里建议大家直接使用顶层文件的实体名作为工程名;第三栏用于指定顶层文件的实体名。设置完毕后单击【Next>】。

在这里插入图片描述
4.这里根据实际所用的 FPGA 型号来选择目标器件。设置完毕后单击【Next>】。

在这里插入图片描述
5.在“EDA Tool Settings”页面中,我们可以设置工程各个开发环节中需要用到的第三方 EDA 工具。设置完毕后单击【Next>】。

在这里插入图片描述
6.返回到 Quartus 软件界面,可以在工程文件导航窗口中看到我们刚才新建的 DDS工程。

7.创建工程顶层文件,在菜单栏中找到【File】→【New】。

在这里插入图片描述
8.由于我们使用 Verilog HDL 语言来作为工程的输入设计文件,所以在“Design
Files”一栏中选择【Verilog HDL File】,然后点击【OK】按钮。

在这里插入图片描述
9.在编辑区输入 Verilog 代码,保存编辑完成后的代码,按快捷键【Ctrl+S】则会弹出一个对话框提示输入文件名和保存路径,默认文件名会和所命名的 module 名称一致,默认路径也会是当前的工程文件夹,将存放的路径修改为 rtl 文件夹下。

在这里插入图片描述

关于Quartus II 如何新建工程就分享到这里啦~~
毕业设计未完待续… :)
  • 6
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Quartus II是一款由英特尔公司开发的集成电路设计软件,用于设计开发数字逻辑电路。它提供了丰富的工具和功能,可以帮助工程师进行电路设计、仿真和验证。在Quartus II中,可以使用硬件描述语言(HDL)来设计和实现各种电路,包括信号发生器。 要设计一个简单的信号发生器,可以使用Quartus II中的数字信号处理(DDS)模块。DDS模块可以生成各种类型的信号,如正弦波、方波、三角波等。以下是一个使用Quartus II设计简单信号发生器的示例: ```verilog module SignalGenerator( input wire clk, input wire reset, output wire [7:0] signal ); reg [31:0] phase_accumulator; reg [7:0] amplitude; always @(posedge clk or posedge reset) begin if (reset) begin phase_accumulator <= 0; amplitude <= 0; end else begin phase_accumulator <= phase_accumulator + 1; amplitude <= amplitude + 1; end end assign signal = amplitude; endmodule ``` 在这个示例中,我们使用Verilog硬件描述语言定义了一个名为SignalGenerator的模块。该模块有一个时钟输入(clk)、复位输入(reset)和一个信号输出(signal)。在always块中,我们使用相位累加器(phase_accumulator)和振幅(amplitude)来生成信号。每个时钟周期,相位累加器和振幅都会增加一个单位。最后,我们将振幅作为输出信号。 请注意,这只是一个简单的示例,实际的信号发生器可能需要更复杂的逻辑和参数设置。使用Quartus II进行电路设计需要一定的硬件描述语言知识和熟练度。建议在使用Quartus II进行设计之前,先学习Verilog或VHDL等硬件描述语言的基础知识。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值