AD9371+ZC706 NO-OS初始工程搭建-Vivado2019.2

AD9371+ZC706 NO-OS初始工程搭建-Vivado2019.2

PL端HDL源码下载:https://github.com/analogdevicesinc/hdl/tree/hdl_2019_r2
非2019.2版本请参考https://wiki.analog.com/resources/fpga/docs/releases

PS端No-OS C语言嵌入式程序源码下载:https://github.com/analogdevicesinc/no-OS/tree/2019_R2/projects/ad9371/src

AD9371/9375 C语言源码src 和 Transceiver Evaluation Software 下载

https://www.analog.com/en/design-center/landing-pages/001/transceiver-evaluation-software.html

以上源码根据自己使用的Vivado版本和器件选择即可,本文使用的是Vivado2019.2、Vitis IDE 2019.2和ADRV9375-W/PCBA

Build HDL工程官方教程:https://wiki.analog.com/resources/fpga/docs/build#windows_environment_setup

AD9371/9375 No-OS Setup 官方教程:https://wiki.analog.com/resources/eval/user-guides/mykonos/no-os-setup
——————————————

1.PL端Vivado工程搭建

1.1下载HDL源码并解压
PL端HDL源码下载:https://github.com/analogdevicesinc/hdl/tree/hdl_2019_r2
非2019.2版本请参考https://wiki.analog.com/resources/fpga/docs/releases
在这里插入图片描述
1.2编译工程文件
1.安装cygwin,具体方法请自行百度。(make一定要装)
2.打开cygwin,运行下列命令

export PATH=$PATH:/cygdrive/d/xilinx/vivado/2019.2/bin

设置Vivado安装路径,设置完成后,运行

which vivado

如果正常,就会显示vivado路径。
3.在cygwin中运行如下命令

cd D:/hdl-hdl_2019_R2/projects/adrv9371x/zc706
make

4.等待约30分钟即可

1.3利用TCL文件自动搭建工程
1.打开vivado2019.2,在最下方Tcl Console 指定工程tcl文件所在路径

cd  D:/hdl-hdl_2019_R2/projects/adrv9371x/zc706

2.编译工程TCL文件,自动搭建工程

source ./system_project.tcl

1.4 对搭建好的工程,进行编译综合生成.bit文件。

后面的步骤参考https://blog.csdn.net/weixin_42151221/article/details/112573707?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522161883089516780366527580%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fall.%2522%257D&request_id=161883089516780366527580&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_v2~rank_v29-1-112573707.first_rank_v2_pc_rank_v29&utm_term=ad9371+tcl&spm=1018.2226.3001.4187

https://blog.csdn.net/graduation201209/article/details/80235563?utm_medium=distribute.pc_relevant.none-task-blog-2%7Edefault%7EBlogCommendFromMachineLearnPai2%7Edefault-2.baidujs&dist_request_id=1331989.12779.16188347849894703&depth_1-utm_source=distribute.pc_relevant.none-task-blog-2%7Edefault%7EBlogCommendFromMachineLearnPai2%7Edefault-2.baidujs
两位大佬的后面步骤很详细,在此不做过多阐述。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值