自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 收藏
  • 关注

转载 事件处理之onTouchEvent()和onTouch()方法精炼详解

一、前期基础知识储备1.onTouchEvent()和onTouch()处理的对象是谁?点击事件(Touch事件)。当用户触摸屏幕时(View 或 ViewGroup派生的控件),将产生点击事件(Touch事件)。常见的点击事件包括:单击、双击、触摸、滑动。Touch事件的相关细节(发生触摸的位置、时间等)被封装成MotionEvent对象。所以传入参数之后完整的方法展示:onTouchEvent(MotionEvent event)和onTouch(View v, MotionEventevent

2020-10-05 15:43:56 1017

原创 射频工程师职责

射频工程师职责1.产品定义:将需求转换成相关技术指标2.产品预研开发:挑选合适的通讯模块(wifi),需要满足产品定义并且考虑后续技术支持的便利程度,开发调试相关电路,评估天线辐射环境,测试整机辐射性能3.产品试产阶段:设计测试方案,测试...

2020-04-16 09:02:54 523

原创 python的eval函数

2020-04-08 14:19:19 101

原创 python的文件

read执行了一次后文件指针会从调用的文件的开头转移到末尾,如果再用read调用同一个文件,就没有内容输出了readline方法小文件复制(利用write命令)大文件复制(readline和while True:)os模块...

2020-04-08 14:13:06 64

原创 python模块

1.模块的导入 import2.模块的部分导入 from 模块1 import 工具名可以直接用该工具(函数def,全局变量,类class),不用模块名. 的形式调用同名的工具会让后者覆盖前者如果想调用同名工具可以通过 as 别名 的方式起别名3.注意事项当导入文件时,没有缩进的语句会被执行解决方法:4.包的概念5. 制作发布压缩包...

2020-04-08 13:30:14 87

原创 python设计模式(解决一类问题)

1.单例设计模式(1)__new __为对象分配内存空间,返回空间的地址重写如下:class MusicPlayer(object): def __new__(cls, *args, **kwargs): # 创建对象时会自动给调用__new__方法 print("创建对象,分配空间") # 1. 分配空间 insta...

2020-04-08 10:35:39 132

原创 python的私有属性私有方法与继承,实例方法,静态方法,类方法

私有:只在对象内使用的方法和属性外部不能调用私有属性和私用方法class Women: def __init__(self, name): self.name = name self.__age = 18 def __secret(self): print("%s 的年龄是%d" % (self.name, self.__age...

2020-04-07 15:58:09 207

原创 python的类和对象

1.类的三要素2.类的内置函数(1)dir(函数名) 可以得到含指数中的内置方法或属性3.定义类和对象class Text: def 方法1(self): pass def 方法2(self): pass 对象变量=类名()4.对象名.属性名=内容 可以增加属性(在类外面定义属性不推荐)class Cat: def eat(self): print...

2020-04-06 18:47:50 81

原创 python的技巧

在文件开头用 #! python解释器完整路径 可以调用解释器在函数中改变全局标量 用global关键字: eg: global num 那么num就是全局变量return用法:利用元组返回多个值def measure(): num=40 weight=20 return (num,weight)#输出元组,()可以省略gol_num,gol_weight= measure(...

2020-04-06 15:46:56 66

原创 python实现名片管理系统

project下有两个python文件:main.py和tool.py1.main.py:import toolwhile True: tool.show_menu() action_str = input("您希望的操作:") print("您选择的操作是【%s】" % action_str) if action_str in ["0", "1", "2",...

2020-04-05 17:47:50 120

原创 python的公共方法

python的公共方法1.内置函数2.append和extend的区别3.for循环:for中有break就不会执行elseeg:字典的调用:students[“键值”]

2020-03-30 10:35:02 81

原创 python的高级类型及其常用方法

高级类型1.1.列表(数组)name_list=[“张三”,“王五”]1.2列表的方法定义列表num_list=[]输入num_list. 之后按tab键可以调用方法1.3列表的循环遍历for … in …:2.1元组:不可修改name_tuple=(“张三”,“王五”)索引元组:name_tuple[0]2.2元组方法取索引:name_tuple.index(“张三”...

2020-03-30 09:27:39 150

原创 verilog入门学习---项目导向---D9---2020/3/27

一、复数乘法器算法:x=a+bi, y=c+dix*y=(ac-bd)+(ad+bc)i原理图:代码实现:module complex(a,b,c,d,out_real,out_im); input [3:0] a,b,c,d; output [8:0] out_real,out_im; wire [7:0] sub1,sub2,add1,add2;//乘法 mul_addt...

2020-03-29 09:31:37 175

原创 python语法基础知识点

基础知识点:1.单行注释:#2.多行注释:“”"要注释的内容“”"3.运算符://取整除,如9//2=4**幂,如3**2=94.计算机三大件:CPU,内存(临时存),硬盘(永久存)5.变量类型(数字和非数字)(1)非数字包括:字符串,列表,元组,字典(2)type函数:type(变量名),输出变量类型(3)python3没有Long类型都是int(4)+可以拼接字...

2020-03-29 00:04:59 75

原创 verilog---D8---2020/3/26

一、向量点积乘法器算法:向量a = (a1, a2, a3, a4); b = (b1, b2, b3, b4),a·b = a1b1+a2b2+a3b3+a4b4原理图:代码实现:module vector(a1,a2,a3,a4,b1,b2,b3,b4,out); input [3:0] a1,a2,a3,a4,b1,b2,b3,b4; output [9:0] out; w...

2020-03-26 23:21:36 263

原创 verilog---D7---2020/3/24

一、跑马灯功能描述:设计一个4状态的走马灯(状态切换由开关SW0,SW1控制LED灯L0-L15):S0(SW1、SW0=00B):点亮一盏LED灯由右往左逐个点亮,如此循环S1(SW1、SW0=01B):点亮一盏LED灯由左往右逐个点亮,如此循环S2(SW1、SW0=10B):点亮一盏LED灯由右往左隔1个点亮,如此循环S3(SW1、SW0=11B):点亮一盏LED灯由左往右隔1个点...

2020-03-26 21:53:22 210

原创 verilog---项目导向D6---2020/3/23

任务和函数一、任务task <任务名>端口和类型声明局部变量声明 begin 语句; endendtask例子:task read_memory; input [15:0] address; //输入端口说明 output [31:0] data; //输出端口说明,即返回值 reg [3:0] counter; //变量类型说明 reg [7...

2020-03-23 22:25:26 157

原创 Verilog---项目导向D5---2020/3/22

1.代码实现:有限状态机一、设计一个“111”的序列检测器,当输入3个或3个以上“1”时,电路输出1,否则输出0(1)状态转移图方法:代码实现://设计一个“111”的序列检测器,当输入3个或3个以上“1”时,电路输出1,否则输出0module checker(z,x,clk);parameter s0=2'b00,s1=2'b01,s2=2'b10,s3=2'b11;output ...

2020-03-23 20:18:16 467

转载 转载:序列检测机

代码实现://功能:实现111序列检测器(使用FSM状态机)module sequence_111( input en, //输入使能(高位有效) input clk, //输入时钟 input rst, //复位信号(高位有效) input m_sequence, //输入序列...

2020-03-22 19:04:28 331

原创 Verilog---项目导向D4---2020/3/21

有限状态机1.米利型:输出和当前状态和输入有关2.摩尔型:输出只与输入有关编码方式:1.2进制编码:二进制编码状态,当跳转导致多个bit位改变时会有毛刺2.格雷码:状态跳转时,只有一个位变化,毛刺少一些3.one hot:n个状态就选n个bit位编码,:0001,0010,0100,1000代码方式:两段式:输出方程+激励方程,状态转移方程//(两段式)//第一个进程,同步时...

2020-03-22 16:47:37 291

原创 电磁兼容导论读书笔记--第一章电磁兼容(EMC)概论

第一章电磁兼容(EMC)概论1.1 EMC涉及的方面1.2 EMC的历史1.3 例子1.4电尺寸和波1.5分贝和常用的EMC单位要点:1.系统电磁兼容的准则:(1)不对其他系统产生干扰(2)对其它系统的发射不敏感(3)不对自身产生干扰2.EMC设计框架:源(发射器)–>传输(耦合)路径–>接收器3.防止干扰的途径:(1)抑制源的发射(2)尽可能使耦合路径失...

2020-03-21 10:32:57 649

原创 Verilog---项目导向D3---2020/3/20

一、模256计数器代码实现:module Counter256(clk,count,reset); output count; input clk,reset; reg [7:0]count; always @(posedge clk) if (!reset) count<=0; else if (count==8'b11111111) count<=...

2020-03-20 21:32:17 324

原创 Verilog---项目导向D2---2020/3/19

一、半加器原理图:代码实现://半加器,assign相当于连续赋值module halfadder(a,b,SO,CO); input a,b; output SO,CO; assign SO=a^b; assign CO=a&b;endmodule 仿真波形:...

2020-03-19 21:06:26 203

原创 Verilog---项目导向D1-2020/3/18

一、4选1多路数据选择器原理图:代码实现:module MUX41(a,b,c,d,s1,s0,y) input a,b,c,d; intput s1,s0; output y; reg y; always@(a,b,c,d,s1,s0) begin case({s1,s0}) 2'b00:y<=a; 2'b01:y<=b; 2'b10:y&l...

2020-03-18 21:39:02 249

原创 商城系统搭建---C语言的头文件形式

头文件形式:1.头文件marketShopsplit_header.h*一般放构造体和函数声明#ifndef MARKETSHOPSPLIT_HEADER_H_INCLUDED#define MARKETSHOPSPLIT_HEADER_H_INCLUDED#include <stdio.h>#include <stdlib.h>#include <st...

2020-03-18 10:10:47 184 1

原创 商城系统的实现---C语言

#include <stdio.h>#include <stdlib.h>#include <string.h>/*模拟实现道具店购物功能商店暂时只支持一种类型的商品商品具备名称、价格、库存等属性模拟玩家购买游戏道具1.玩家选择要购买的道具2.玩家同意交易后扣除相应的游戏币3.对应商品库存-14.玩家背包中增加商品或该商品数量+1*/...

2020-03-17 18:21:17 1079

原创 Markdown使用帮助

使用方法欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Markdown...

2020-03-15 12:32:49 108

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除