verilog入门学习---项目导向---D9---2020/3/27

一、复数乘法器
算法:x=a+bi, y=c+di
x*y=(ac-bd)+(ad+bc)i
原理图:
在这里插入图片描述
代码实现:

module complex(a,b,c,d,out_real,out_im);
	input [3:0] a,b,c,d;
	output [8:0] out_real,out_im;
	wire [7:0] sub1,sub2,add1,add2;
//乘法
	mul_addtree U1(.a(a),.b(c),.out(sub1));
	mul_addtree U2(.a(b),.b(d),.out(sub2));
	mul_addtree U3(.a(a),.b(d),.out(add1));
	mul_addtree U4(.a(b),.b(c),.out(add2));
//加/减法
	assign out_real=sub1-sub2;<
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值