Verilog:状态机

一、状态机概念

 状态机(State Machine):有限状态机(Finite State Machine,FSM),在有限个状态之间按一定规律转换的时序电路。

二、状态机模型

 

三、状态机设计

四段论

1. 状态空间定义

2. 状态跳转

 

3. 下个状态判断(组合逻辑)

敏感信号表:所有的右边表达式中的变量以及if、case条件中的变量。

4. 各个状态下的动作

 

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值