《EDA技术实用教程(第六版(黄继业, 潘松))》学习笔记——第三章

《EDA技术实用教程(第六版)》学习笔记——第三章

1、半加器的Verilog的描述

  • 半加器的逻辑表述、半加器的电路结构、真值表、时序波形:
    在这里插入图片描述
  • 半加器的Verilog描述:

在这里插入图片描述

  • h_adder是模块名,是设计者为其设计的模块所取的名字。
  • h_adder旁的括号及其内容称为“端口表”,括号中的内容就是此模块的所有端口信号名。
  • assign引导的赋值负责描述模块内部的逻辑功能和电路结构。
  • assign引导的赋值语句属于并行赋值语句,无论有多少行语句,都是同时执行的,与语句的前后次序无关。

1、1模块语句及其表达方式

在这里插入图片描述

  • 任一可综合的最基本的模块都必须以关键词module开头。
  • 模块名最好根据相应电路的功能来确定。如4位二进制计数器用counter4b。
  • 模块端口列表中须列出此模块的所有输入、输出或双向端口名,端口名间用逗号分开,括号外加 分号。
  • endmodule是模块结束语句关键词,旁边不加任何标点符号。对模块端口及其功能的描述语句都
    必须放在模块语句module_endmodule之间。

1、2端口语句、端口信号名和端口模式

在这里插入图片描述

  • 输入端口:input
  • 输出端口:output
  • 双向端口:inout 使用比较复杂,后续有专门章节讲述,在未理解前,不要轻易使用
  • 最后一种端口描述方法是端口信号的逻辑矢量位表达方式,其中的msb和lsb分别是信号量的最高和最低位数。output [3:0] C,D; // C、D均为4位输出端口。

1、3逻辑操作符

Verilog的逻辑操作符大部分与C语言一致,比如:

  • 逻辑按位与: &
  • 逻辑按位或: |
  • 逻辑按位异或:^
  • 逻辑按位取非:~

但Verilog也有自己的扩展,比如

  • 逻辑按位 与非 ~&
  • 逻辑按位 或非 ~|
  • 逻辑按位 同或 ~^

1、4连续赋值语句

在这里插入图片描述
在这里插入图片描述

  • 当等式右端的驱动表达式(赋值源)中任一信号发生变化时,此表达式即被重新计算一遍。
  • assign语句是Verilog的并行语句,模块中所有的assign语句同时执行,语句的前后顺序无关;
  • -加入[延时],表示经过指定延时后再赋值,
  • 但这个延时值在综合器中是被忽略的,不参与综合。
  • 只对仿真器有效
    在这里插入图片描述
  • 此句表示,仿真的基本时间单元是 10ns,仿真时间的精度是 100ps。在这个时间划分单元下,语句assign #6 R1=A&B”在执行后,一旦计算出A&B的值还要再等待6个时间单元也就是60ns后才将此值赋给 R1。

1、5关键字

  • 指verilog语言中预定义的有特殊含义的英文词语。input、output、module、assign、endmodule
    关键词必须小写

1、6标识符

  • 设计者在verilog程序中自定义的,用于标识不同名称的词语。例如模块名、信号名、端口名等。Verilog中标识符区分大小写

1、7书写规范

  • Verilog标识符,以英文字符(大小写均可)、数字、下划线“_”组合而成但只能用英文字符或者下划线开头(下划线开头需要慎用,一般为软件自带库来使用),标识符用数字开头是不允许的。
  • 最顶层的module_endmodule模块描述语句放在最左侧,比它低一层次的描述语句则向右靠一个Tab键距离。同一语句的关键词要对齐。

1、8Verilog模块文件取名和存盘

  • 文件后缀名必须是“.v”。
  • 文件取名的大小写也是敏感的。文件名与存储路径不能带有中文字符。
  • 对于Quartus,程序名必须和该程序的模块名一致。

1、9注释符号

  • //后的注释文字只能放在同一行
  • // 可以换行文件取名的大小写也是敏感的。文件名与存储路径不能带有中文字符。

2、4选1多路选择器及其case语句表述方式

  • 4选1多路选择器的电路结构、时序波形:
    在这里插入图片描述
  • 4选1多路选择器的Verilog描述:
    在这里插入图片描述
    本例还包含以下五方面新的语句结构和表述方式:
  • 以reg关键词定义的模块内相关信号的特性和数据类型。
  • 以always关键词引导的对模块逻辑功能描述的顺序语句。
  • 以case_endcase引导的多条件分支赋值语句。
  • 以begin_end引导的顺序块语句。
  • Verilog数据并位及数据表达方式。

2、1reg型变量定义

在这里插入图片描述

  • reg: 寄存器型变量;
  • 在过程语句always@引导的顺序语句中, 被赋值信号规定必须是reg型变量;
  • 输入或双向口信号不能定义为reg型。

2、2过程语句

在这里插入图片描述

  • Verilog中有两类能引导顺序语句的过程语句,always在可综合语句
    中最为常用,另一类过程语句是initial语句。

2、3块语句begin _end

在这里插入图片描述

  • 块语句begin end仅限于在always引导的过程语句结构中使用,只相当于一个括号。
  • 以上方括号中的“:块名”可以省略。

2、4case条件语句

在这里插入图片描述

2、5Verilog的四种逻辑状态

Verilog有四种基本数值:

  • 0:含义有四个,即二进制数0、低电平、逻辑0,事件为伪的判断结果。
  • 1:含义也有四个,即二进制数1、高电平、逻辑1、事件为真的判断结果。
  • z或Z。高阻态,或高阻值。
  • x或X。不确定,或未知的逻辑状态。x与z大小写都不分。

2、6并位操作运算符

2、7Verilog的数字表达形式

在这里插入图片描述

  • (1)B:二进制, O:八进制,H:十六进制,D:十进制
  • (2)不分大小写,如:2’b10, 4’hA等
  • (3)sb定义有符号二进制数:8’sb10111011,最高位1是符号。

3、4选1多路选择器及其case语句表述方式

  • 4选1多路选择器的Verilog描述:

在这里插入图片描述

3、1按位逻辑操作符

在这里插入图片描述

  • 如果两个操作数位矢具有不同长度,综合器将自动根据最长位的操作数的位数,把较短的数据按左端补0对齐的规则进行运算操作。

3、2等式操作符

在这里插入图片描述

  • Verilog中任何变量有4个状态:0;1;z (高阻态);x (不确定或未知状态)

3、3wire定义网线型变量

在这里插入图片描述

  • 如果assign语句中需要有端口以外的信号或连接线性质的变量(由于端口都已默认为网线型变量),则必须用网线型变量定义语句事先给出显式定义。
  • 用wire定义的网线型变量可在任何类型的表达式或赋值语句(包括连续赋值和过程赋值语句)中用作输入信号,也可以在连续赋值语句或实体元件例化中用作输出信号。

在这里插入图片描述

4、4选1多路选择器及其case语句表述方式

  • 4选1多路选择器的Verilog描述和RTL:
    在这里插入图片描述

5、4选1多路选择器及其条件语句表述方式

  • 4选1多路选择器的Verilog描述和RTL:
    在这里插入图片描述

5、1if条件语句

在这里插入图片描述

5、2过程赋值语句

  • 阻塞式赋值:如y=b; 一旦执行完当前的赋值语句,赋值目标变量y即刻获得来自等号右侧表达式的计算值。如果在一个块语句中含有多条阻塞赋值语句,**而当执行到其中某条赋值语句时,其他语句被禁止执行,**这时其他语句如同被阻塞了一样。
  • 非阻塞式赋值:如y<=b;在执行当前语句时,对于块中的其他语句的执行情况一律不加限制

6、全加器设计及例化语句应用

6、1全加器原理图结构

在这里插入图片描述

  • Sum=第一个半加器的和,加上Cin (即两个半加器的级联,最终的和输出)。
  • Cout=第一个半加器的进位,“或”第二个半加器的进位。

6、2顶层设计文件

在这里插入图片描述
元件例化:

  • 引入一种连接关系,将预先设计好的设计模块定义为一个元件,
  • 然后利用特定的语句将此元件与当前的设计实体中指定端口相连接,
  • 从而为当前设计实体引进一个新的、低一级的设计层次。

6、3Verilog例化语句及其用法

  • <模块元件名>,它具有唯一性。如果是用Verilog描述的模块,则是模块名,也即元件名;
  • <例化元件名>:在具体电路上模块被调用后放在不同的位置或担任不同的任务又必须有对应 的名称。
  • 端口名关联法:括号中的信号名是外部端口名,括号外带点的信号名是待连接的元件自己的端口名。
  • 位置关联法,关联表述的信号位置十分重要,注意端口顺序,不能放错。

在这里插入图片描述

在这里插入图片描述

7、8位加法器设计及算术操作符应用

  • 8位加法器Verilog描述和仿真波形:

在这里插入图片描述

  • 8位加法器RTL图:

在这里插入图片描述

7、1算术运算操作符

在这里插入图片描述

  • 所有算数运算都是按无符号操作数进行的,如果是减法运算,输出的结果是补码。对于乘法,若为无符号数,可直接用乘法算符(*);若为有符号数乘,则需将操作数和输出结果用signed定义为有符号数,乘法结果为补码。

8、BCD码加法器设计

在这里插入图片描述

8421BCD码相加的编程应该考虑以下两个问题:

  • (1)由于用4位二进制数表示的BCD码的表示范围是0~9,其余的6个数,10(4b1010)15(4b1111)都属于无效BCD码,因此如果当两个BCD码相加后的值超过9,则必须再加上6来得到一个有效的BCD码,且向高位进位1。
  • (2)有时尽管当两个BCD码相加后的值仍旧是有效的BCD码,但如果相加后向高位有进位,仍然认为其和大于等于10,故仍需要将相加的结果再加上6。

9、组合逻辑乘法器设计

9、1参数定义关键词parameter和localparam

在这里插入图片描述

  • localparam的功能和用法与parameter类似,它是一个局部参数定义关键词,但无法通过外部程序的数据传递来改变localparam定义的常量。

9、2整数型寄存器类型定义

在这里插入图片描述

  • reg类型必须明确定义其位数。但integer类型的定义不必特指位数,因为它们都默认为32位宽的二进制寄存器类型。

在这里插入图片描述

9、3for语句用法

在这里插入图片描述

  • (1)本次循环开始前根据“循环初始值设置表达式”计算获得循环次数初始值。
  • (2)在本次循环开始前根据“循环控制条件表达式”计算所得的数据判断是否满足继续循环的条件,如果“循环控制条件表达式”为真,则继续执行“循环体语句结构”中的语句,否则即刻跳出循环。
  • (3)在本次循环结束时,根据“循环控制变量增值表达式”计算出循环控制变量的数值,然后跳到以上步骤(2)。

9、4移位操作符及其用法

  • 右移或左移,移出腾空的位用0填补:
    在这里插入图片描述
  • 有符号数左右移,右移一律将符号位,即最高位填补移出的位。左移操作同普通左移<<。
    在这里插入图片描述
    在这里插入图片描述

9、5两则乘法器设计示例

  • 乘法器Verilog描述:
    在这里插入图片描述
  • 乘法器电路图和时序仿真图:
    在这里插入图片描述

9、6repeat语句用法

在这里插入图片描述

  • “循环次数表达式”可以是数值确定的整数、变量或定义了常数的参数标识符等。
  • repeat语句的循环次数是在进入此语句执行以前就已决定的,无需循环次数控制增量表达式及其计算。

9、7repeat语句用法

在这里插入图片描述

  • 首先根据“循环控制条件表达式”的计算所得判断是否满足继续循环的条件,如果为真,执行一遍“循环体语句结构”中的所有语句;若为伪,即不满足循环表达式的条件,结束循环。
  • 对于此种循环语句,必须在“循环体语句结构”中包含类似for语句的“循环控制变量增值表达式”。
    在这里插入图片描述

9、8parameter参数传递功能

  • 例如若底层文件的模块语句和参数表述是
    在这里插入图片描述
  • 则在例化语句中应作如下类似表述:
    在这里插入图片描述
  • SUB_E是模块元件名,即底层模块名;诸如参数定义parameter S2=5可省略为parameter
    S2。这是因为当一层模块被调用后,其内部原来被parameter定义的参数5已经失效,而对应的参数将来自上层例化语句给定的9。

10、RTL

RTL描述

  • 把切用可综合的语句表述的,可由综合器生成实际电路的HDL代码形式称为 RTL描述。

RTL级或RTL电路

  • 将RTL表述确定为数字系统表述的某一层次级别,即RTL级(可用电路模块表述的电路层次,此对应的电路就称为RTL电路).在其之上分别是行为级(只能用 HDL语言表述)和系统级(最高级);在RTL下,依次还有门级(也称技术级,即对应集成电路底层基本电路单元)、晶体管级和物理级。
  • 可以无寄存器
  • 状态存储元件+组合逻辑
  • 比门级更高一级(更抽象)的逻辑电路描述
  • 已经对应硬件电路的结构
  • Verilog描述的模块大多在RTL层次
  • 用RTL思维方式,理解硬件,然后用Verilog描述出来

如有需要课本PDF与课件可以评论区联系发邮箱。

  • 73
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
EDA 技术丛书 VHDL 实用教程 潘 松 王国栋 编著 内 容 简 介 本书比较系统地介绍了 VHDL 的基本语言现象和实用技术 全书以实用和可操作为基点 简洁而又不失完整地介绍了 VHDL 基于 EDA 技术的理论与实践方面的知识其中包括 VHDL 语句语法基础知识 第 1 章 第 7 章 逻辑综合与编程技术 第 9 章有限状态机及其设计 第 10 章 基于 FPGA 的数字滤波器设计 第 11 章 多种常用的支持 VHDL 的 EDA软件使用介绍 第 12 章 VHDL 数字系统设计实践介绍 第 13章 和大学生电子设计赛题的 VHDL 应用介绍 第 14 章 全书列举了大量 VHDL 设计示例 其中大部分经第 12 章介绍的 VHDL 综合器编译通过 第 13 章的程序绝大部分都通过了附录介绍的 EDA 实验系统上的硬件测试 可直接使用 书中还附有大量程序。设计和实验/实践方面的习题。 本书可作为高等院校的电子工程 通信 工业自动化 计算机应用技术 电子对抗仪器仪表 数字信号处理 图像处理等学科领域和专业的高年级本科生或研究生的 VHDL或 EDA 技术课程的教材及实验指导 也可作为相关专业技术人员的自学参考书。 目 录 第 1 章 绪 论....................................................................................................................1 § 1.1 关于 EDA...............................................................................................................1 § 1.2 关于 VHDL............................................................................................................3 § 1.3 关于自顶向下的系统设计方法............................................................................5 § 1.4 关于应用 VHDL 的 EDA 过程.............................................................................6 § 1.5 关于在系统编程技术............................................................................................9 § 1.6 关于 FPGA/CPLD 的优势...................................................................................10 § 1.7 关于 VHDL 的学习.............................................................................................10 第 2 章 VHDL 入门..............................................................................................................12 § 2.1 用 VHDL 设计多路选择器和锁存器 .................................................................12 § 2.2 用 VHDL 设计全加器.........................................................................................15 第 3 章 VHDL 程序结构......................................................................................................19 § 3.1 实 体 ENTITY ..............................................................................................19 § 3.2 结构体 ARCHITECTURE ............................................................................26 § 3.3 块语句结构 BLOCK .....................................................................................29 § 3.4 进程 PROCESS .............................................................................................32 § 3.5 子程序(SUBPROGRAM)....................................................................................35 3.5.1 函数 FUNCTION ..................................................................................36 3.5.2 重载函数 OVERLOADED FUNCTION ..............................................39 3.5.3 过程 PROCEDURE ...............................................................................42 3.5.4 重载过程 OVERLOADED PROCEDURE ..........................................44 § 3.6 库 LIBRARY .................................................................................................45 § 3.7 程序包 PACKAGE ........................................................................................48 § 3.8 配置 CONFIGURATION ..............................................................................51 习题............................................................................................................................ 53 第 4 章 VHDL 语言要素......................................................................................................55 § 4.1 VHDL 文字规则..................................................................................................55 § 4.2 VHDL 数据对象..................................................................................................58 4.2.1 变量(VARIABLE)........................................................................................59 4.2.2 信号(SIGNAL).............................................................................................60 4.2.3 常数(CONSTANT).......................................................................................63 § 4.3 VHDL 数据类型..................................................................................................64 4.3.1 VHDL 的预定义数据类型..........................................................................65 4.3.2 IEEE 预定义标准逻辑位与矢量.................................................................68 4.3.3 其它预定义标准数据类型 ..........................................................................70 4.3.4 用户自定义数据类型方式 ..........................................................................71 4.3.5 枚举类型......................................................................................................73 4.3.6 整数类型和实数类型..................................................................................74 4.3.7 数组类型......................................................................................................74 4.3.8 记录类型......................................................................................................76 4.3.9 数据类型转换..............................................................................................78 § 4.4 VHDL 操作符......................................................................................................82 4.4.1 操作符种类..................................................................................................82 4.4.2 逻辑操作符..................................................................................................83 4.4.3 关系操作符..................................................................................................85 4.4.4 算术操作符..................................................................................................87 4.4.5 重载操作符..................................................................................................93 习题...............................................................................................................................94 第 5 章 VHDL 顺序语句......................................................................................................95 § 5.1 赋值语句..............................................................................................................95 5.1.1 信号和变量赋值..........................................................................................96 5.1.2 赋值目标......................................................................................................97 § 5.2 流程控制语句......................................................................................................99 5.2.1 IF 语句..........................................................................................................99 5.2.2 CASE 语句.................................................................................................102 5.2.3 LOOP 语句.................................................................................................106 5.2.4 NEXT 语句.................................................................................................109 5.2.5 EXIT 语句..................................................................................................110 § 5.3 WAIT 语句.........................................................................................................111 § 5.4 子程序调用语句................................................................................................115 § 5.5 返回语句(RETURN)..........................................................................................118 § 5.6 空操作语句(NULL)...........................................................................................119 § 5.7 其它语句和说明................................................................................................120 5.7.1 属性(ATTRIBUTE) 描述与定义语句......................................................120 5.7.2 文本文件操作(TEXTIO)...........................................................................125 5.7.3 ASSERT 语句.............................................................................................127 5.7.4 REPORT 语句............................................................................................128 5.7.5 决断函数....................................................................................................128 习题...............................................................................................................................129 第 6 章 VHDL 并行语句....................................................................................................131 § 6.1 进程语句............................................................................................................132 § 6.2 块 语 句............................................................................................................137 § 6.3 并行信号赋值语句............................................................................................138 6.3.1 简单信号赋值语句....................................................................................138 6.3.2 条件信号赋值语句....................................................................................138 6.3.3 选择信号赋值语句....................................................................................139 § 6.4 并行过程调用语句............................................................................................141 § 6.5 元件例化语句....................................................................................................143 § 6.6 类属映射语句....................................................................................................145 § 6.7 生成语句............................................................................................................146 习题.............................................................................................................................151 第 7 章 VHDL 的描述风格................................................................................................153 § 7.1 行为描述............................................................................................................153 § 7.2 数据流描述........................................................................................................155 § 7.3 结构描述............................................................................................................156 习题.............................................................................................................................157 第 8 章 仿 真................................................................................................................158 § 8.1 VHDL 仿真........................................................................................................158 § 8.2 延时模型............................................................................................................162 8.2.1 固有延时....................................................................................................163 8.2.2 传输延时....................................................................................................163 § 8.3 仿 真 d..............................................................................................................164 § 8.4 仿真激励信号的产生........................................................................................164 § 8.5 VHDL 测试基准................................................................................................166 § 8.6 VHDL 系统级仿真............................................................................................169 习题.............................................................................................................................170 第 9 章 综 合................................................................................................................171 § 9.1 VHDL 综合........................................................................................................171 § 9.2 有关可综合性的考虑........................................................................................174 § 9.3 寄存器引入方法................................................................................................175 9.3.1 容易发生的错误........................................................................................175 9.3.2 常规寄存器的引入....................................................................................180 9.3.3 具有时钟门控结构寄存器的引入............................................................183 9.3.4 同步置位 复位功能的引入....................................................................184 9.3.5 异步置位 复位功能的引入....................................................................184 § 9.4 引入寄存器的有关技巧....................................................................................186 § 9.5 三态门引入方法................................................................................................190 § 9.6 资源共享............................................................................................................194 习题.............................................................................................................................196 第 10 章 有限状态机 FSM.................................................................................................198 § 10.1 一般状态机设计..............................................................................................199 § 10.2 状态机的状态编码..........................................................................................210 § 10.3 状态机剩余状态处理......................................................................................212 习题.............................................................................................................................213 第 11 章 数字滤波器设计 ..................................................................................................215 § 11.1 基于 FPGA 的数字滤波器优势.....................................................................215 § 11.2 FIR 数字滤波器设计......................................................................................217 11.2.1 FIR 滤波器结构原理简要.......................................................................217 11.2.2 FIR 滤波器设计方案确定.......................................................................220 11.2.3 FIR 滤波器主系统设计...........................................................................223 11.2.4 FIR 滤波器附加功能实现.......................................................................227 § 11.3 IIR 数字滤波器设计.......................................................................................229 11.3.1 IIR 滤波器设计方案................................................................................229 11.3.2 IIR 滤波器的实现....................................................................................232 习题.............................................................................................................................234 第 12 章 VHDL 设计平台使用向导..................................................................................235 § 12.1 ispVHDL 使用向导..........................................................................................235 12.1.1 ispLSI 系列介绍.......................................................................................236 12.1.2 ispVHDL 设计套件介绍..........................................................................236 12.1.3 ispVHDL 设计向导..................................................................................237 § 12.2 Altera MAX+plus II VHDL 使用向导 ............................................................246 § 12.3 MAX+plus II 与 Synplify 接口........................................................................254 § 12.4 Xilinx Foundation VHDL 使用向导................................................................256 12.4.1 Foundation 设计流程...............................................................................256 12.4.2 VHDL 输入方式设计向导......................................................................257 习题...............................................................................................................................264 第 13 章 VHDL 设计实践与实验......................................................................................265 § 13.1 8 位预置加法计数器设计 ...............................................................................265 实验习题...................................................................................................................267 § 13.2 宽位可预置中断处理器 ...............................................................................267 实验习题...................................................................................................................268 § 13.3 静态随机存储器 SRAM ..........................................................................269 实验习题...................................................................................................................270 § 13.4 堆栈设计..........................................................................................................270 实验习题...................................................................................................................271 § 13.5 8 位硬件加法器设计.......................................................................................271 实验习题...................................................................................................................273 § 13.6 8 位硬件乘法器设计.......................................................................................273 实验习题...................................................................................................................278 § 13.7 乒乓球游戏电路设计......................................................................................278 实验习题...................................................................................................................283 § 13.8 序列检测器设计..............................................................................................283 实验习题...................................................................................................................284 § 13.9 正负脉宽数控调制信号发生器设计 ..............................................................284 实验习题...................................................................................................................286 § 13.10 “梁祝 乐曲演奏电路设计...........................................................................287 实验习题...................................................................................................................292 § 13.11 RS232 通信控制电子琴.................................................................................292 实验习题...................................................................................................................295 § 13.12 数字频率计设计............................................................................................296 实验习题...................................................................................................................299 § 13.13 PC 机 单片机 FPGA 双向通信...............................................................299 实验习题...................................................................................................................301 § 13.14 VGA 显示器彩条信号发生器设计...............................................................301 实验习题...................................................................................................................304 § 13.15 A/D 采样控制器设计 ....................................................................................304 实验习题...................................................................................................................308 § 13.16 D/A 接口电路与波形发生器设计.................................................................308 实验习题...................................................................................................................310 § 13.17 MCS-51 单片机与 CPLD 接口逻辑设计 .....................................................310 13.17.1 总线方式................................................................................................310 13.17.2 独立方式..............................................................................................312 实验习题...................................................................................................................313 § 13.18 PS/2 键盘接口逻辑设计................................................................................314 § 13.19 7 段 LED 译码显示电路设计........................................................................315 实验习题...................................................................................................................316 第 14 章 电子设计竞赛实例介绍......................................................................................317 § 14.1 多功能等精度频率计......................................................................................317 14.1.1 测频原理..................................................................................................317 14.1.2 测频专用模块工作原理和设计..............................................................318 14.1.3 频率计功能模块的 VHDL 描述.............................................................320 14.1.4 测频主系统实现......................................................................................323 14.1.5 专用模块测试控制信号说明..................................................................324 §14.2 电子设计竞赛开发板.......................................................................................325 习题.............................................................................................................................326 附录 1 GW48 型 EDA 实验开发系统使用介绍 ...............................................................327 附录 2 一些 FPGA 和 CPLD 芯片引脚图.........................................................................344 本书有PDF格式跟word文档格式,两种文件格式方便读者阅读的方便。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

吴不言不言

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值