systemverilog常用code——counter案例

项目场景一

需要在sequence里写一个counter来记录发包的数量


代码展示

  fork
    //第一个begin end语句块用来发包  
    begin
      for (int idx=0;idx<130;idx++)begin
        `uvm_info(get_type_name(),$sformatf("idx is %0d", idx), UVM_HIGH)        
        std::randomize(rd) with { rd inside {1'b0,1'b1}; };
        if(rd==1)begin
          mrd(rd_cfg);//发出read包
        end else begin
          mwr(wr_cfg);//发出write包
        end
      end
    end
    //第二个begin end语句块用来记录发包的数量
    begin
      fork:cnt_block
        forever begin
          @(p_sequencer.if_i.arid)begin
            `uvm_info("cnt ongoing", $sformatf("realtime is %t", $realtime), UVM_HIGH);
            #10ps;//延迟一小段时间是因为arid和arvalid可能同时跳变
            if (p_sequencer.if_i.arvalid)begin
              arvalid_cnt++;
              `uvm_info("cnt ongoing", $sformatf("realtime is %t", $realtime), UVM_HIGH);
            end
          end
        end
        forever begin
          @(p_sequencer.if_i.awid)begin
            `uvm_info("cnt ongoing", $sformatf("realtime is %t", $realtime), UVM_HIGH);
            #10ps;
            if (p_sequencer.if_i.awvalid)begin
              awvalid_cnt++;
              `uvm_info("cnt ongoing", $sformatf("realtime is %t", $realtime), UVM_HIGH);
            end
          end
        end
        begin
          user_event.wait_trigger;//该event trigger即结束cnt_block
        end
      join_any
      disable cnt_block;
      cnt_sum = arvalid_cnt+awvalid_cnt;
      `uvm_info("cnt end", $sformatf("cnt_sum, arvalid_cnt, awvalid_cnt = %0d, %0d, %0d",cnt_sum, arvalid_cnt, awvalid_cnt), UVM_LOW);
    end
  join

项目场景二

需要在sequence里写一个counter来记录时间,超时并报timeout


代码展示

        fork 
            begin
                for (int i =0; i<10; i++) begin
				    @(posedge env.if_i.clk);
                    $display ("%s @ %0t: time_start", clk_name, $realtime); //unit is ns
                    time_start = $realtime;
                    @posedge env.if_i.clk);
                    $display ("%s @ %0t: time_end", clk_name, $realtime);
                    time_end = $realtime;
                    period[i] = time_end-time_start;
                    sum += period[i];
                end
                freq = 10/sum; 
                sum = 0;
            end

            begin            
				#500000ns
                sum = 0;
                `uvm_error(get_type_name(), $sformatf("%s clock monitor TIMEOUT!", clk_name)); 
            end
        join_any
        disable fork;

该code也能用来计算和监测clock的频率,但是准确全面监测clock频率推荐使用assertion,这样能在每一个clock period都监测clock 频率

  • 11
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值