偶数分频方法的VHDL代码实现

将频率为50MHz时钟分频为1Hz脉冲,需要进行50000000次分频。由于分频系数为偶数,利用偶数分频方法即可。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity five555 is
 port
 (
  clk:in std_logic;
  clkout:out std_logic
 );
 end;
architecture one of five555 is 
signal clktemp:std_logic;
constant temp:integer:=25000000;
begin
 process(clk)
		variable cnt:integer:=0;
		begin
		if(clk'event and clk='1') then
			 if cnt=temp then
				 clktemp<=not clktemp;
				 cnt:=0;
			  else
				 cnt:=cnt+1;
			 end if;
		 end if;
 end process;
 clkout<=clktemp;
 end;
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值