Quartus:Instantiation of ‘sdram_model_plus‘ failed. The design unit was not found.

问题描述

SDRAM初始化模块仿真时,使用了别人的模块,编译没错,仿真就报错了:
Instantiation of ‘sdram_model_plus’ failed. The design unit was not found.
在这里插入图片描述

解决方案

同时添加者个文件,并且名字用tb文件的
在这里插入图片描述

没有找到那个文件,就添加哪个文件进去,只要注意一个框那里的名称用tb文件的即可

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值