【调用IP宏文件进行仿真】modelsim仿真时出现 Instantiation of 'xxx' failed. The design unit was not found....

出现错误类似:
modelsim 仿真fifo时出现 Error: (vsim-3033) E:/Programs/ModelSim/fifo/ps2_fifo.v(75): Instantiation of 'scfifo' failed. The design unit was not found.
仿真波形不对,调用的ip核没有输出(白色虚线)等情况,都是因为没有在仿真工程中加入ip宏的.V文件:
例子:调用了一个shift register,然后仿真的时候三个抽头没有数据输出,只有白色虚线而且是一直拉低

 

 Altera的基本宏功能的功能(行为)仿真模型在Quartus工具安装目录下的"C:\altera\13.1\quartus\eda\sim_lib"目录中:
    Verilog HDL语言的仿真库文件为220model.v和altera_mf.v;
    VHDL语言的仿真库文件为220pack.vhd、220model.vhd、altera_mf.vhd和altera_mf_components.vhd。
仿真时把相关库文件加到工程中去就行了。

 

 

 

 






转载于:https://www.cnblogs.com/imzh/p/7136100.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值