Modelsim报错“Instantiation of ‘****‘ failed. The design unit was not found.”

项目场景:

使用Modelsim进行仿真时出现错误:Instantiation of ‘****’ failed. The design unit was not found.


问题描述

在进行仿真测试文件编写时,引用了除被仿真的主体文件之外的其他模块,比如IP核、仿真模型等,出现报错:Instantiation of ‘****’ failed. The design unit was not found.,显示该设计没有找到。
在这里插入图片描述



解决方案:

在设置仿真脚本的时候需要将除被仿真的主体文件之外的其他模块,比如IP核、仿真模型等也添加进去,如下图

1.将仿真顶层文件添加进脚本,同时注意上面的仿真文件名称填写主体文件,操作还没有完成,不要点击OK退出
在这里插入图片描述2.再次点击三个点选择文件,这里我还需要添加一个仿真模型和一个pll IP核,选择之后,直接点击Add,不需要改变上面的名称
在这里插入图片描述
在这里插入图片描述
3.点击OK,再进行正常操作就可以进行仿真了

  • 5
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值