Modelsim报错:The design unit was not found 或 Module XXX is not defined

在这里插入图片描述
Modelsim报错:**Error: (vaim-3033)G: /amei fpga tem/claa/cla1 fifo/pr/./testbench/mdcf t.(34): Intatatio of mydcfio failed. The design unit was not found

在这里插入图片描述
Modelsim报错:Error: D: /Software/FPGA/ORT/Xaomeige/prj/fi fo/testbench/mydcfifo tb v(22): Modulemydcfifo’is not defined

问题原因:tb文件中被例化的模块(需要被仿真的模块)没有被设置为顶层
解决方案:将被例化的模块设置为顶层

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值