单端口RAM的实现以及 generate... for...语句

来自牛客的题:

 由于本人知识尚浅,只是想记录一下自己的刷题过程中遇到的问题以及想法,也行存在不对的地方,欢迎各位大佬指正;

在此之前,我也会偶尔用到RAM,但并不清楚怎么设计它,如今好像明白了点;

在RAM模块中,我们会定义一个寄存器,通过这个寄存器对读写数据进行处理,在这道题中,我们需要设计一个位宽为4,深度为128的单端口RAM;

首先,我们定义一个存储器mem:

前面的[3:0]指数据位宽,后面的[127:0]指数据深度,即存储的数据量;但是数据量太多,我们不可能一一赋值,因此,我考虑使用generate... for...语句减少代码量;

那么,什么是 generate... for...语句,复习一下:

generate...for

1

2

3

4

5

6

genvar i;<

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值