单端口和双单口RAM的实现

本文介绍了单端口、伪双端口和真双端口RAM的verilog实现原理,包括各自的特点。单端口RAM在同一时钟沿只能读或写,伪双端口则有独立的读写地址、时钟和使能信号,而真双端口RAM允许两组端口分别读写。
摘要由CSDN通过智能技术生成

单端口和双单口RAM的verilog实现

概念:
1 单端口:读写数据共用一个地址线,一个时钟沿只能进行读或者写;
2 伪双端口:写数据和读数据有自己的 地址、时钟、读写使能信号;也就是一组端口只能写,一组端口只能读。(读写数据也可共用一个clk,为同步伪双端口ram)
3 真双端口:一组端口可读可写,另一组端口也可读可写。(若这两组端口共用一个clk,为同步真双端口ram。若每组有每组的clk,为异步真双端口ram)

(1)单端口verilog实现

//单端口ram
module ramsingle(
	input clk,
	input rst_n,
	input sel,
	input en,
	input [3:0] addr,
	input [7:0] wdata,
	input wr,
	output reg [7:0]rdata);

reg [7:0] mem [15:0];
integer i;
wire wr_en, rd_en;

assign wr_en=sel&en≀
assign rd_en=sel&en&!wr;
//wdata mem
always@(posedge clk)
	if(!rst_n)
		for(i=0; i<16; i=i+1)
		mem[i]<=0;
	else if(wr_en)
		mem[addr]<=wdata;
		
//rdata
always@(posedge clk)
	if(!rst_n)
		rdata<=0;
	else if(rd_en)
		rdata<=mem[addr];
	
endmodule

tb(verilog)如下


module tb( );
reg clk, rst_n, wr, en,sel;
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值