modelsim组合逻辑仿真不准确?

文章讲述了在使用Modelsim进行组合逻辑代码仿真时,代码在FPGA中功能异常,原因在于缺少else分支导致en信号未被正确锁存。通过添加时序逻辑和Vivado综合,解决了en信号的正确更新问题。作者强调了仿真与实际硬件的差异性检查重要性。
摘要由CSDN通过智能技术生成

用modelsim仿真一个模块top时,模块里有一段组合逻辑代码。模块代码如下:

module top(
    ...)

    ...

    reg en = 1;
    always@(*)begin
        if(a)
            en = 0;
        else if(b)
            en = 1;
    end
    ...
endmodule

这段代码的用途是产生一个使能信号en,en的值由信号a,b决定。用modelsim 仿真时,整个模块的功能是正常的。把代码下载到FPGA里面后,发现这个模块的功能不正常。定位到是en信号没有正常变化引起的问题。查看代码,才发现这个组合逻辑没有写else分支,按照我的经验,应该生成锁存器,但是en的值并没有被锁存,而是保持为0.还是我对锁存器有误解?总之,modelsim 仿真和实际电路运行的结果不同。

如果使用vivado综合的时候,把代码改成如下所示:

module top(
    ...)

    ...

(* MARK_DEBUG="true" *)reg en = 1;
    always@(*)begin
        if(a)
            en = 0;
        else if(b)
            en = 1;
    end
    ...
endmodule

这样模块的功能也正常。

最后改成了时序逻辑:

module top(
    ...)

    ...

    reg en = 1;
    always(posedge clk)begin
        if(a)
            en = 0;
        else if(b)
            en = 1;
    end
    ...
endmodule

功能也正常了。之所以用组合逻辑,是为了en能及时拉低,但是实际过程中发现多一个使能也可以。

后面如果有机会再把仿真和综合的结果比较一下。

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
ModelSim是一种常用的仿真工具,可用于验证和调试组合逻辑电路设计。以下是一个简单的组合逻辑电路设计的例子,其中使用了ModelSim进行验证: 1. 首先,我们需要创建一个新的工程。在ModelSim中,选择“File”>“New Project”>“VHDL”,然后输入工程名称和保存路径。 2. 在工程中创建一个新的源文件。在ModelSim中,选择“File”>“New”>“VHDL File”,然后输入文件名和保存路径。 3. 在新的源文件中编写VHDL代码来描述电路。以下是一个简单的例子: ``` library ieee; use ieee.std_logic_1164.all; entity comb_logic is port ( a, b : in std_logic; c : out std_logic ); end entity comb_logic; architecture behavior of comb_logic is begin c <= a and b; end architecture behavior; ``` 在此代码中,我们定义了一个名为“comb_logic”的实体,该实体有两个输入端口(a和b)和一个输出端口(c)。在架构部分中,我们定义了一个简单的布尔表达式,将输入端口a和b的值取并,然后将结果赋给输出端口c。 4. 在工程中创建一个测试台。在ModelSim中,选择“File”>“New”>“Test Bench”,然后输入文件名和保存路径。 5. 在测试台中编写VHDL代码来生成输入并验证输出。以下是一个简单的例子: ``` library ieee; use ieee.std_logic_1164.all; entity comb_logic_tb is end entity comb_logic_tb; architecture behavior of comb_logic_tb is component comb_logic is port ( a, b : in std_logic; c : out std_logic ); end component comb_logic; signal a, b, c : std_logic; begin dut: comb_logic port map (a => a, b => b, c => c); stim_proc: process begin a <= '0'; b <= '0'; wait for 10 ns; a <= '0'; b <= '1'; wait for 10 ns; a <= '1'; b <= '0'; wait for 10 ns; a <= '1'; b <= '1'; wait for 10 ns; wait; end process stim_proc; assert_proc: process begin wait for 50 ns; assert(c = '0') report "Unexpected value on c" severity error; wait for 10 ns; assert(c = '0') report "Unexpected value on c" severity error; wait for 10 ns; assert(c = '0') report "Unexpected value on c" severity error; wait for 10 ns; assert(c = '1') report "Unexpected value on c" severity error; wait; end process assert_proc; end architecture behavior; ``` 在此代码中,我们定义了一个名为“comb_logic_tb”的实体,其中包含一个名为“dut”的组件(即我们要测试的电路)。我们还定义了三个信号a、b和c,它们将用于生成输入和验证输出。在架构部分中,我们使用port map将输入和输出信号连接到dut组件。我们还定义了两个过程:stim_proc用于生成输入,assert_proc用于验证输出。在assert_proc过程中,我们使用assert语句来测试输出值是否与预期值相同。如果不是,则报告错误。 6. 为测试台添加仿真器。在ModelSim中,选择“Simulate”>“Start Simulation”,然后选择要仿真的测试台文件并单击“OK”。 7. 运行仿真。在ModelSim中,选择“Simulate”>“Run – All”或单击工具栏上的“Run”按钮。仿真将运行并显示波形图。检查波形图以确保输出值与预期值相同。如果有错误,则需要检查代码并重新运行仿真
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值