10_UVM callback


进一步实现平台的重复使用能力。

问题:如何改变driver的行为?

  • uvm的factory机制以及override机制;(过多的扩展引起继承关系的混乱)
  • UVM callback机制

callback机制

两部分:

  • function或task-回调函数;
  • 在一个对象内使用,但在另一个对象内定义;
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

如何使用

  1. 将UVM callback方法内嵌入组件中;
  2. 创建一个最基本的uvm callback空壳类;
  3. 从callback空壳类扩展出所需要的callback类;
  4. 在顶层实例化并注册callback对象。
    5 在这里插入图片描述

使用实例

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值