AHB-SRAMC网课设计与验证-1

课程内容

在这里插入图片描述

backgroud

在这里插入图片描述

  • 在一个SOC系统里面,SRAMC是很常见的一部分(图中SMI,system memery)
  • 作用是跑程序,数据的数据处理器

features

  • AMBA 2.0 AHB spec;
  • SRAM seoc
  • 系统需求:
    32/64/128/256空间;
    支持8/16/32位位宽读写操作;
    单周期读写(一个周期出现读写两种操作,实际上是pipeline,两个周期完成一个读写,一个周期发地址,另一个周期发数据);
    低功耗设计;
    实质上这是一个控制器,memory compiler(MC)可以自动生成RTL代码

spec

在这里插入图片描述

  • cs:chip select;

architecture

在这里插入图片描述

AHB operation timing

在这里插入图片描述

  • 地址与数据是相邻的两拍;
  • hready包含两个信号:
    hready_in与hready_out
    在这里插入图片描述
  • 单周期读写不允许有wait,wait存在表示hready持续拉低,读数据持续无效,使得写数据与读数据相隔数个周期;
    在这里插入图片描述
    在这里插入图片描述
  • busy是master发出的,表示总线暂时没有空间进行传输;
    在这里插入图片描述
  • AHB的burst不是真正的burst,AXI才是;
  • 这是因为master会计算每一步的地址;
  • beat,拍,一打数据;
    在这里插入图片描述
  • 只有okey可以单周期实现,error、split,retry需要扩展位实现;
    在这里插入图片描述
  • split一般很少实现
    在这里插入图片描述
  • 上面是一个双端口的,只看一边就可;

一个简单的测试案例建模

原则:写,存数据;读比数据;
byte写,地址随便;
half word 写,地址是2的倍数;
word 写,地址是4的倍数;
在这里插入图片描述

平台编写

注意
在这里插入图片描述

  • 5
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值