练习二:简单分频时序逻辑电路设计

一个 1/2分频器的可综合模型。

模块设计方法:

module half(res_n, clk_in, clk_out);

	input res_n, clk_in;
	output clk_out;	
	reg clk_out;
	
	always@(posedge clk_in)begin
		if (!res_n)
			clk_out = 0;
		else 
			clk_out = ~clk_out;
	end
	
endmodule

测试模块方法:

`timescale 1ns/1ns
`define clk_cycle 50

module half_tb();
	
	reg rst_n, clk_in;
	wire clk_out;
	
	always #clk_cycle clk_in = ~clk_in;	// 产生测试时钟
	
	initial begin
		clk_in = 0; rst_n = 1;
		#10 rst_n = 0;
		#110 rst_n = 1;
		#100000 $stop;
	end
	
	half half_t0(
		.rst_n(rst_n),
		.clk_in(clk_in),
		.clk_out(clk_out)
	);
	
endmodule

Schematic

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值