Verilog中综合出锁存器

Verilog代码综合后的电路中生成锁存器的原因,

一般是因为:

if语句中没有写else项,

case语句中没有写default项

例1:

module latch_example(al, d, q);
  input al, d;
  output q;
  wire al, d;
  reg q;

  always @(al or d)begin
    if(al)
      q = d;
  end
endmodule

综合后的RTL结构

 

具体的电路结构

 

其中LDCE是锁存器的意思

异步复位LDCE复位后输出0
LDPE复位后输出1

例2 :

module mux(al, d, q);
  input al, d;
  output q;
  wire al, d;
  reg q;
  
  always @(al or d)begin
    if(al)
      q = d;
    else
      q = 0;
  end

endmodule

 综合后的RTL结构

 综合后的电路图

可以看到if语句没有else就会生成锁存器。同样case语句如果没有default,且没有列出所有情况,也会生成锁存器。 

 

 

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值