verilog/sv中关于表达式结果的取值

1. 明确规定结果位宽

在明确规定表达式的取值时,表达式的位宽已定,其值也容易确定。如dst的取值就只能是真实值的低3位,尽管其正确结果为4'b1110.

bit [2:0]dst, src1, src2;
bit [3:0]dst_0;

initial begin
  src1 = 3'b111;
  src2 = 3'b111;
  dst = src1 + src2; // dst = 3'b110
  dst_0 = src1 + src2; // dst = 4'b1110
end

2.不规定结果位宽

但是有时为了表达的简洁,而隐去了表达式取值信号的定义,此时表达式的取值取决于表达式中最大操作数的位宽。

2.1 默认为1

  如果不声明就使用一个变量,那么在verilog或者sv中他应该是一个默认的1bit的net变量,但是在块语句中必须先声明,后使用。所以下面的写法是不行的,会报错

bit [2:0]src1, src2
initial begin
  src1 = 3'b111;
  src2 = 3'b111;
  dst = src1 + src2; // dst = 1'b0
end

2.2 默认和操作数的最大位宽相同

bit [2:0]src1, src2;
bit [3:0]src3;

initial begin
  src1 = 3'b111;
  src2 = 3'b111;
  src3 = 4'b0111;
  
  $display("src1 + src2 = %b", src1+src2); // 3'b110
  $display("src1 + src3 = %b", src1+src3); // 4'b1110
  $display("src1 + src2 = %b", {1'b0, src1} + {1'b0, src2}); // 4'b1110
  
  if(src1+src2 > 3'b111)
    $display("aaa");
  if(src1+src2 > 4'b0111)
    $display("bbb");  // will display bbb

  $display("(src1+src2)>>2 = %b", (src1+src2)>>2);  // 001 not 011

end 
  

 所以如果在代码中出现使用表达式去display,去移位,去判断一定要小心,由于结果不会自动扩展位宽,如果发生溢出了,得到的值很可能和你想要的值不同,从而导致错误。 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值