「Verilog学习笔记」根据状态转移表实现时序电路

本文记录了作者在学习Verilog过程中关于基本逻辑电路的设计,如使用异步时钟的序列电路、触发器和逻辑表达式,以及如何实现输出Y对输入A的控制。主要内容涉及`seq_circuit`模块的代码实现和功能分析。
摘要由CSDN通过智能技术生成
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

分析

可得逻辑表达式为Q_{1}^{n+1}=A\bigoplus Q_{1}^{n}\bigoplus Q_{0}^{n}


可得逻辑表达式为Q_{0}^{n+1}={Q_{0}^{n}}'


`timescale 1ns/1ns

module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
 
      output   wire        Y   
);
    reg q0, q1 ; 

    always @ (posedge clk or negedge rst_n) begin 
        if (~rst_n) begin 
            q1 <= 0 ; 
        end 
        else begin 
            q1 <= A ^ q0 ^ q1 ; 
        end 
    end 

    always @ (posedge clk or negedge rst_n) begin 
        if (~rst_n) begin 
            q0 <= 0 ; 
        end 
        else begin 
            q0 <= ~q0 ; 
        end 
    end

    assign Y = q0 & q1 ; 

endmodule
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UCSD.KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值