SystemVerilog面向对象

* 作者:JK ZHAN,本文首发于微信公众号“IC Talking”(ID:HelloICTalking),芯片技术文章分享平台。

 

分享笔记,一张大图涵盖绝大部分SystemVerilog OOP干货!

面向对象编程(OOP)中涉及到的各类特性,比如多态、封装、接口类、抽象类、多重继承、静态成员和方法、类型转换、this指针等用法,都在同一个例程中。

图片中将例程代码分成不同色块,并在右边附上了对应的知识点介绍。在例程的正下方是这段代码的仿真结果,仿真工具是Questa Sim-2020.01。

 

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值