system verilog(八)面向对象编程高级技巧

继承允许从一个现存的类得到一个新的类并共享其变量和子程序;原始类被称为基类或者超类,而新类因为扩展了基类的功能,被称为扩展类。(继承通过增加新的特性提供了可重用性,并且不需要修改基类)
例如:一个发生器创建了一个事务,随机化其值,然后将其发送到驱动器
在这里插入图片描述
在这里插入图片描述
该事务基类含有变量和子程序,变量包括源地址、目的地址、八个数据字和校验错误CRC变量,子程序包括用于显示内容和计算CRC的子程序。其中函数被标注为virtual,这样就可以在需要的时候重新定义(这一点适用于所有的任务和函数,除了new函数,因为new函数在对象创建时调用,所以无法扩展)。

Transaction类的扩展
在这里插入图片描述

class BadTr extends Transaction;//BadTr类可以直接访问原始类和本身所有的变量
rand bit bad_crc;

virtual function void calc_crc;
super.calc_crc();//扩展类的calc_crc函数通过使用super前缀调用基类中的calc_crc函数
if(bad_crc)crc=~crc;
endfunction

virtual function void display(input string prefix="");
$write("%sBadTr:bad_crc=%b,",prefix,bad_crc);
super.display();//但是注意不允许super.super.new的方式进行多级调用
endfunction
endclass:BadTr

如果你的基类构造函数有参数,那么扩展类必须有一个构造函数而且必须在其构造函数的第一行调用基类的构造函数

class Base1;
int var;
function new(input int var);//带有参数的构造函数
this.var=var;
endfunction
endclass

class Extended extends Base1;
function new(input int var);//需要参数
super.new(var);必须是new函数的第一行
endfunction
endclass

驱动类:从发生器接受事务信息,然后将他们输送至DUT

class Driver;
mailbox gen2drv;//线程间使用信箱传递信息

function new(input mailbox gen2drv);
this.gen2drv=gen2drv;
endfunction

task main;
	Transaction tr;//定
  • 0
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值