hdlbits:算数移位寄存器Shift18参考答案

 tip:注意是算数移位寄存器,左移的话不需要考虑,右移需要考虑最高位的符号位.

module top_module(
    input clk,
    input load,
    input ena,
    input [1:0] amount,
    input [63:0] data,
    output reg [63:0] q); 
    always@(posedge clk)
        if(load)
            q<=data;
    else if(ena)begin
        case(amount)
            2'b00:q<={q[62:0],1'b0};
            2'b01:q<={q[55:0],8'b0};
            2'b10:q<={q[63],q[63:1]};
            2'b11:q<={{8{q[63]}},q[63:8]};
        endcase
    end
        
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值