自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 收藏
  • 关注

原创 Verilg代码题——复杂电路设计1

文章目录复杂电路设计同步FIFOALU算术逻辑单元二进制转格雷码格雷码转二进制二进制转BCD自动售货机秒计数器设计线性反馈移位寄存器LFSRWallace树型乘法器Booth乘法器4位超前进位加法器CRC校验无毛刺的时钟切换电路无符号整数除法器复杂电路设计同步FIFOALU算术逻辑单元二进制转格雷码格雷码转二进制二进制转BCD自动售货机秒计数器设计线性反馈移位寄存器LFSRWallace树型乘法器Booth乘法器4位超前进位加法器CRC校验无毛刺的时钟切换电路无符号整数除法器

2022-05-11 11:12:43 489

原创 Verilog代码题——基本电路

基本电路1位全加器module full_adder( input a, input b, input cin, output sum, output cout ); assign {cout,sum}=a+b+cin;endmoudle abcinsumcout0000000110010100110110010101011100111111mo

2022-03-06 14:31:16 1141

原创 笔记——时序验证与分析

时序规范的定义HDL中用于时序检查的系统任务综合后的时序验证技术时序违例情况的消除方法异步FIFO设计示例

2021-12-29 11:16:24 492

原创 笔记——数字逻辑电路的RTL建模、设计与实现

自顶而下的设计方法Verilog硬件描述模型Verilog结构级模型Verilog行为级模型连续赋值语句assign组合过程块always时序过程块always——1&2点平型时序电路:锁存器latch验证技术与方法testbench结构模块验证与仿真示例组合逻辑的综合综合时几种常用的优化技术...

2021-12-28 16:45:09 1562

原创 笔记——数字集成电路系统设计工程

数字集成电路设计的基本流程将非技术用语翻译成技术用语spec验证:设计的时候用的测试:制造时用的网表和延时有了之后进行时序仿真设计时有时需要加入引出关键信号的管脚算法和架构设计:一个数字系统设计的例子网线传输模拟信号,要进行数模运算packet composer:打包网络基于存储转发,要包含寄存器design for test:boundary scan test布局布线:封装:SOC设计开发技术IP导向的FPGA设计技术...

2021-12-28 14:01:23 693

原创 数字集成电路与系统设计笔记——可编程逻辑器件

半导体存储器与可编程逻辑器件组合逻辑器件:用存储器存储真值表来代表组合逻辑器件互联矩阵FPGA核心:黄色(记忆器和存储器,查找表)最基本的查找表单元delay:输入到输出的时间基于开关(与基于查找表不同)两类均需:可编程的连线、可编程的IO、可编程的功能单元。LUT:look up table代表组合逻辑后面跟上一个D触发器二者组成完整的数字逻辑开关矩阵:可编程逻辑互联...

2021-12-26 23:07:07 315

原创 HDLBits_for_?_generate

module top_module( input [99:0] a, b, input cin, output [99:0] cout, output [99:0] sum ); generate genvar i; for(i=0;i<=99;i=i+1)begin:adder//这个地方的名字不能省略,否则会给你报错:缺名字 if(i == 0) begin assign {cout

2021-11-29 23:25:16 309

原创 HDLBits Procedures_Always

Alwaysblock1For synthesizing hardware, two types of always blocks are relevant:Combinational: always @(*)Clocked: always @(posedge clk)Combinational always blocks等价于assign statements,因此总有一种方法可以用两种方式表示组合电路。选择使用哪一种主要是哪一种语法更方便的问题。过程块内部代码的语法与过程块外部代码的语法不同

2021-11-28 19:22:22 179

原创 HDLBits学习笔记Vectors

VectorsVectors向量用于使用一个名称对相关信号进行分组,使其更便于操作。wire [7:0] w;declares an 8-bit vector named w that is functionally equivalent to having 8 separate wires.vector的声明将维度(长度)在vector名称之前,这与C语法不同。然而,正如您所期望的那样,部件选择在向量名称之后有维度(长度)。wire [99:0] my_vector; // Decl

2021-11-28 14:35:22 467

原创 HDLBits学习笔记Basic

Getting StartedOutput one问题:建立一个没有输入只有一个输出的电路。输出应该总是驱动1(或逻辑高)。需要在[fixme]中输入相应的值对应的格式:e.g. 位宽+‘+进制+数字:6’b11Verilog语法Wire与物理线不同,Verilog中的线(和其他信号)是定向的。这意味着信息只在一个方向流动,从(通常是一个)源到汇点(源也经常被称为将值驱动到线路上的驱动器)。在Verilog的“连续赋值”(assign left_side = right_side;)中,右

2021-11-21 15:05:25 290

原创 数学建模算法与应用——用python进行程序的编写

第1章 线性规划化成matlab后使用python进行求解:首先需要导入numpy与scipy模块调用scipy中的optimize.linprog即可#optimize.linprog的使用方法大致如下result = optimize.linprog(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None, bounds=None, method='interior-point', callback=None,

2021-08-28 23:48:02 1712

原创 李宏毅深度学习-2

2021-08-18 22:56:44 114

原创 吃瓜教程-支持向量机

2021-07-29 23:01:46 105 1

原创 西瓜书弟5章-神经网络

2021-07-25 22:20:36 244

原创 吃瓜教程Task03

2021-07-22 22:17:59 82

原创 吃瓜教程-西瓜书南瓜书

吃瓜教程-Task02

2021-07-20 01:27:19 89

原创 吃瓜教程-1

链接: link.图片:

2021-07-13 19:59:34 224

原创 动手学数据分析-Task05

第三章 模型搭建和评估数据–增删查补–清洗工作–建模–评估import pandas as pdimport numpy as npimport matplotlib.pyplot as pltimport seaborn as snsfrom IPython.display import Image%matplotlib inlineplt.rcParams['font.sans-serif'] = ['SimHei'] # 用来正常显示中文标签plt.rcParams['axes

2021-06-23 21:43:26 105

原创 动手学数据分析-Task4

数据可视化#导入numpy、pandas包和数据# 加载所需的库# 如果出现 ModuleNotFoundError: No module named 'xxxx'# 你只需要在终端/cmd下 pip install xxxx 即可%matplotlib inlineimport numpy as npimport pandas as pdimport matplotlib.pyplot as plttext = pd.read_csv(r'result.csv')text.head()

2021-06-20 13:40:17 166

原创 动手学数据分析-Task3

2 数据重构# 导入基本库import numpy as npimport pandas as pd# 载入data文件中的:train-left-up.csvtext = pd.read_csv('/Users/chenandong/Documents/datawhale数据分析每个人题目设计/招募阶段/第二章项目集合/data/train-left-up.csv')text.head()2.4 数据的合并2.4.1 任务一载入4个数据#将data文件夹里面的所有数据都载入tex

2021-06-19 21:19:42 108

原创 手动学数据分析=Task2

2 第二章:数据清洗及特征处理import numpy as npimport pandas as pd#加载所需的库numpy、pandas包和数据#加载数据train.csvdf = pd.read_csv('train.csv')df.head(3)PassengerId Survived Pclass Name Sex Age SibSp Parch Ticket Fare Cabin Embarked0 1 0 3 Braund, Mr. Owen Harris male 22.0

2021-06-17 23:24:53 91

原创 动手学数据分析-Task01

1 第一章:数据加载1.1 载入数据1.1.1 任务一:导入numpy和pandasimport numpy as npimport pandas as pd1.1.2 任务二:载入数据(1) 使用相对路径载入数据df = pd.read_csv('./第一单元项目集合/train.csv')#采用相对路径,避免由于文件位置发生变动造成的问题print(df.head(3))#读取数据的前三行运行结果为:PassengerIdSurvivedPclass…FareC

2021-06-15 23:13:15 122

原创 2021-04-24

二手车交易价格预测-Task5 建模调参1 学习目标对于多种调参完成的模型进行模型融合。完成对于多种模型的融合,提交融合结果并打卡。2 内容介绍模型融合是比赛后期一个重要的环节,大体来说有如下的类型方式。2.1 简单加权融合:回归(分类概率):算术平均融合(Arithmetic mean),几何平均融合(Geometric mean)分类::投票(Voting)综合:排序融合(Rank averaging),log融合2.2 stacking/blending:构建多层模型,并利

2021-04-24 22:12:02 91

原创 二手车交易价格预测-Task4 建模调参---zpz

二手车交易价格预测-Task4 建模调参1 学习目标了解常用的机器学习模型,并掌握机器学习模型的建模与调参流程完成相应学习打卡任务。2 内容介绍2.1 线性回归模型:所谓线性回归模型就是指因变量和自变量之间的关系是直线型的。回归分析预测法中最简单和最常用的是线性回归预测法。线性回归对于特征的要求;处理长尾分布;理解线性回归模型;2.2 模型性能验证:评价函数与目标函数;交叉验证方法;留一验证方法;针对时间序列问题的验证;绘制学习率曲线;绘制验证曲线;2.3 嵌入式特征选

2021-04-22 22:28:15 236

原创 零基础入门数据挖掘二手车交易价格预测-Task3 特征工程---zpz

二手车交易价格预测-Task3 特征工程1 特征工程首先需要了解什么是特征工程。

2021-04-19 22:38:29 105

原创 零基础入门数据挖掘二手车交易价格预测-Task2 数据分析---zpz

二手车交易价格预测-Task2 数据分析1 EDA-数据探索性分析作为一名初学者,首先需要了解什么是EDA以及其在python中使用的工具。1.1 EDAExploratory Data Analysis,** EDA**In statistics, exploratory data analysis is an approach to analyzing data sets to summarize their main characteristics, often using statist

2021-04-16 23:54:12 233 2

原创 Task1 赛题理解---zpz

Task1 赛题理解学习记录项目名称:零基础入门数据挖掘 - 二手车交易价格预测1 赛题背景本次的赛题名称为:零基础入门数据挖掘之二手车交易价格预测大赛。赛题以二手车市场为背景,要求选手预测二手汽车的交易价格,是一个典型的回归问题。1.1 内容解读前言 本人目前在华中科技大学材料学院电子封装专业,作为一名第一次接触并参加数据挖掘类比赛的学生,首先需要对涉及的各项名词进行搜索并进行自己的理解。1.1.1 回归问题**回归预测(regression forecasting)**就是把预测的相关性

2021-04-13 23:43:08 201

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除