关联数组&正则表达式

关联数组

概念:1.用来保存稀疏矩阵的元素,组内标号不用按照顺序,更加的灵活;2.只为实际写入的元素分配空间,这样可以节省空间;3.类似于python中的词典,键值(key)和数值(value)一一对应
例如:
int age[string];//以字符串为索引,查找关联数组中的int类型数据;
integer i_array[*];//未规定索引类型,通配;

关联数组 使用具有一个可选的默认索引的’{index:value}语法
如果指定了默认值,那么读取一个不存在的元素将产生指定的默认值,并且不会发出警告
	由字符串索引的4个状态整数组成的关联数组,默认值为-1
	integer tab [string] = '{"Peter":20, "Paul":22, "Mary":23, default:-1 };

正则表达式

在UVM中,提供了uvm_re_match函数来支持正则匹配,其定义为:
function int uvm_re_match(string re, string str)
参数re为标准的正则表达式,str为要匹配的字符表达式。返回值为0,表示匹配成功,反之失败;
globs是一种流行简化的正则表达式,它只支持3个元字符(*,+,?)

globs	regular expression equivalent	remark
*      	.*  	                       0 or more characters
+	   .+                              	1 or more characters
?  	.	                             exactly one character

因为UVM也提供了一个函数uvm_glob_to_re来转换,它可以将glob正则表达式转换为标准的正则表达式
$display(“A -> %s”, uvm_glob_to_re(“uvm_test_top.*.monitor”));
$display(“B -> %s”, uvm_glob_to_re(“uvm_test_top.?.monitor”));
$display(“C -> %s”, uvm_glob_to_re(“uvm_test_top.+.monitor”));
$display(“D -> %s”, uvm_glob_to_re(“monitor”));

A -> /^uvm_test_top\..*\.monitor$/;
B -> /^uvm_test_top\..\.monitor$/;
C -> /^uvm_test_top\..+\.monitor$/;
D -> /^.*monitor.*$/
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

东边坡

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值