VCS使用问题记录

本文作为vcs学习记录,不定期更新;

Q1:

使用vlogan deepfifo_pkg.sv -ntb_opts uvm

Error-[SV-LCM-PND] Package not defined
test/deepfifo_pkg.sv, 2
deepfifo_pkg, "uvm_pkg::"
  Package scope resolution failed. Token 'uvm_pkg' is not a package. 
  Originating module 'deepfifo_pkg'.
  Make sure that uvm_pkg is analyzed before analyzing other files that use 
  uvm_pkg.


A1:

vlogan不能同时编译uvm和用户程序,需要预先编译;使用

vlogan -ntb_opts uvm

vlogan deepfifo_pkg.sv -ntb_opts uvm

Q2:

编译程序后,运行simv

Error-[DPI-DIFNF] DPI import function not found
/opt/Synopsys/VCS2014/etc/uvm/base/uvm_resource.svh, 390
  The definition of DPI import function/task 'uvm_glob_to_re' does not exist.
  Please check the stated DPI import function/task is defined, and its 
  definition is either passed in a source file at compile-time, or provided in
  a shared library specified using the LRM Annex-J options at run-time.
A2:

A2:

这是vcs编译过程没有夹-ntb_opts uvm,加上即可

Q3:

VCS2016+Verdi2016:

在编译之后使用./simv -verdi或./simv -gui=verdi,打开后Verdi界面一直处于Running状态,SimCMD也无法输入, 如下图

A3:

这是LD_LIBRARY_PATH没有配置的缘故,使用

# 64位Verdi
export LD_LIBRARY_PATH=$Verdi_HOME/share/PLI/VCS/linux64:$LD_LIBRARY_PATH
# 32位Verdi
export LD_LIBRARY_PATH=$Verdi_HOME/share/PLI/VCS/linux:$LD_LIBRARY_PATH

其中Verdi_HOME为Verdi的安装目录,linux64或linux根据实际决定;配置完成后,打开就可以正常工作:

Q4:

VCS2017+Verdi2017

现象为:Failed to invoke simulator;打开File->View Simulation Log,可以看到如下:

Command: /home/IC/Desktop/p9/simv -ucli +UVM_VERDI_TRACE=UVM_AWARE +fsdb+gate=off +fsdb+delta=2 -ucli2Proc -lca -l /home/IC/Desktop/p9/verdiLog/sim.log
Warning-[LCA_FEATURES_ENABLED] Usage warning
  LCA features enabled by '-lca' argument on the command line.  For more 
  information regarding list of LCA features please refer to Chapter "LCA 
  features" in the VCS/VCS-MX Release Notes
Chronologic VCS simulator copyright 1991-2016
Contains Synopsys proprietary information.
Compiler version L-2016.06_Full64; Runtime version L-2016.06_Full64;  May 16 16:49 2020

ucli% synUtils::getArch
linux64
ucli% loaddl -simv libnovas.so LoadFSDBDumpCmd;LoadFSDBDumpCmd

Error-[UCLI-LOADDL-INTERNAL] loaddl command Internal error.
  Internal error in loaddl command : Load.
  libnovas.so: cannot open shared object file: No such file or directory
  Please contact vcs_support@synopsys.com or call 1-800-VERILOG.

ucli% if {[catch {ucliCore::setFocus tool}]} {}

ucli% finish; quit
           V C S   S i m u l a t i o n   R e p o r t 
Time: 0 ps
CPU Time:      0.030 seconds;       Data structure size:   0.0Mb
Sat May 16 16:49:35 2020

A4:

解决方案见A3.

  • 11
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: VCS是一种版本控制系统,可以帮助开发人员管理代码的版本和变更历史记录。在Linux下,常用的VCS工具有Git、SVN等。 使用Git进行版本控制,需要先安装Git工具。安装完成后,可以使用命令行或者图形化界面进行操作。常用的Git命令包括: 1. git init:初始化一个Git仓库 2. git add:将文件添加到暂存区 3. git commit:将暂存区的文件提交到本地仓库 4. git push:将本地仓库的文件推送到远程仓库 5. git pull:从远程仓库拉取最新代码 6. git clone:克隆远程仓库到本地 使用SVN进行版本控制,需要先安装SVN工具。安装完成后,可以使用命令行或者图形化界面进行操作。常用的SVN命令包括: 1. svn checkout:从远程仓库检出代码到本地 2. svn add:将文件添加到版本控制 3. svn commit:将修改提交到版本控制 4. svn update:从远程仓库更新最新代码 5. svn merge:将不同分支的代码合并 以上是Linux下VCS使用教程的简要介绍,具体使用方法可以参考相关文档或者教程。 ### 回答2: 版本控制系统(VCS)是一种将源代码版本、文档等内容管理起来的工具。它可以帮助程序员在跨团队开发、测试和发布时更好地管理和协调代码变更。Linux下有多个版本控制系统可供选择,如Git、Subversion和CVS等。下面将介绍在Linux系统下使用Git进行版本控制的教程。 步骤1:安装Git 在Linux系统下,使用Git进行版本控制需要先安装Git。使用以下命令可以安装Git: sudo apt-get install git #ubuntu或Debian sudo yum install git #CentOS或RHEL 步骤2:创建一个新的Git仓库 在Linux系统下创建一个Git仓库需要使用git init命令。进入需要进行版本控制的项目目录,执行以下命令: git init 或者如果需要创建一个新目录来进行版本控制,可以使用以下命令: git init project-name 步骤3:将代码添加到Git仓库中 要将代码添加到Git仓库中,需要使用git add命令。使用以下命令将文件添加到Git仓库: git add file-name 也可以使用以下命令将所有未添加的文件添加到Git仓库: git add . 步骤4:提交更改 在将代码添加到Git仓库后,需要使用git commit命令将更改提交到代码库中。使用以下命令提交更改: git commit -m "commit message" 步骤5:创建分支 Git支持多个并行开发分支,可以使用git branch命令创建一个新分支。使用以下命令创建一个名为feature的新分支: git branch feature 步骤6:切换分支 在Git中可以使用git checkout命令切换分支。使用以下命令切换到feature分支: git checkout feature 步骤7:推送代码到远程代码库 在Git中使用git push命令将本地代码推送到远程代码库。使用以下命令将代码推送到远程Git服务器: git push origin master 总结 在Linux系统下使用Git进行版本控制需要遵循以上步骤。可以在Git中创建新的仓库,添加、提交和推送代码。同时,也可以创建和切换分支以并行开发。Git是一个功能强大、灵活和流行的版本控制系统,更多高级功能可以在继续学习后逐步了解。 ### 回答3: VCS即版本控制系统,是一种用于管理代码变更的工具。在Linux下,常用的VCS有Git、Subversion等。以下是针对Git的使用教程。 1. 安装Git 在Ubuntu中,使用以下命令安装Git: ``` sudo apt-get install git ``` 2. 创建仓库 在本地创建Git仓库,使用以下命令: ``` mkdir project.git cd project.git git init ``` 3. 添加文件 添加文件到仓库: ``` git add file.txt ``` 4. 提交变更 提交变更到仓库: ``` git commit -m "commit message" ``` 5. 查看历史记录 查看提交历史: ``` git log ``` 6. 回退变更 回退到上一个提交: ``` git reset --hard HEAD^ ``` 7. 分支管理 创建并切换到新分支: ``` git checkout -b new_branch ``` 切换分支: ``` git checkout branch_name ``` 合并分支: ``` git merge branch_name ``` 8. 远程仓库管理 添加远程仓库: ``` git remote add origin git@github.com:username/repo.git ``` 推送变更到远程仓库: ``` git push -u origin master ``` 以上是Git的基本使用,还可以结合GitHub等托管平台进行协作开发和代码管理。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值