生成波形相关参数(verdi)

参数1:debug_access+all

用于编译阶段,设置对整个设计的读写权限,+w是写 ,+r是读,+f是force, +all就是把所有的权限全开;

如果没有该选项,对一些信号的操作也就不支持了,比如你环境对DUT内部信号的读写,没有这个选项就不行,包括但不限于force、deposit等操作。

参数2:-kdb -lca

用于编译阶段,生成 simv.daidir/kdb.elab++/这个目录,是VCS 为verdi生成的中间文件,方便verdi识别;有了这个目录,可以使用命令“verdi -elab simv.daidir/kdb”打开verdi,节省时间;

打开波形:

命令:“verdi -sv -uvm +UVM_VERDI_TRACE=UVM_AWARE+RAL+HIER+COMPWAVE -ssf wave.fsdb -dbdir simv.daidir &”

或命令:“Verdi -ssf wave.fsdb”

生成波形控制:
$fsdbDumpfile ("wave.fsdb","limit_size")

wave.fsdb:控制生成波形名字;

limit_size:控制波形大小;

$fsdbDumpvars("depth","instance","option")

depth:0,表示dump该模块及该模块以下的所有信号;1,仅dump该模块这一层的信号

instance:为环境的top名,如TB;

option:控制一些特殊功能的打开和关闭;

如 "+mda","+packedmda"

要在 .tcl文件中加入的格式如下:

 仿真时,通过命令 -ucli -i run.tcl传入

如何查看delta时刻?

仿真时加入命令:“+fsdb+delta”;

首先鼠标左键选择需要展开的时间点,然后按下图所示的选项展开这个时间点,可以看到在这个时间点上,信号变化的先后顺序。

 

 

 

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

东边坡

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值