vcs和verdi的联合仿真(快速打开波形和项目)

原文链接:https://blog.chinaaet.com/heyuanpi/p/5100058552

之前的使用方法是先用vcs把fsdb波形文件dump出来。然后打开verdi,导入filelist,再打开fsdb波形文件,最后restore波形信号.rc文件。这是一种比较老式的后处理模式。

最近在YouTube上看到vcs和verdi的联合仿真,有些收获,记录在这里。

最大的收获是,vcs编译过后可以产生simv.daidir这个文件夹,其中存放的是包含了编译信息的中间数据,这样verdi就可以用这个中间数据直接导入编译后的工程,不需要再手动导入filelist重新编译一边。

如何做?

首先设置环境变量setenv NOVAS_HOME xxxx 和setenv VCS_HOME xxx

然后用vcs编译的时候加上-debug_access+all -kdb -lca 注意这里-kdb是属于lca这个feature的,因此这两个都要加上。这一步实际上就是产生verdi能用的编译信息。

最后编译好simv之后有两种调用方式:一是交互式模式,即用simv -verdi打开verdi,在verdi中进行仿真的运行和调试;二是后处理模式,即仍然用simv跑出fsdb波形,然后verdi -ssf test.fsdb即可打开verdi,它会自动导入simv.dairdir下面的工程信息和波性文件,即打开后波形和.v文件都进入了verdi。

小知识:

1) 如果只想生成simv.daidir/kdb.elab++, VCS编译时,用”-lca -kdb=only“

2)如果生成.simv之后没有仿真产生fsdb,那么通过verdi -dbdir ./simv.daidir可以打开verdi导入工程。这说明上面的那个命令verdi -ssf test.fsdb虽然没有指定-dbdir,但是它默认找到了。完整的命令应该是verdi -ssf test.fsdb -dbdir ./simv.daidir

怎么样,是不是方便了一些?下面是YouTube链接:

https://www.youtube.com/watch?v=SGiSNNCVk4Y

  • 6
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值