【FPGA】PWM控制呼吸灯

【FPGA】PWM控制呼吸灯



一、PWM

1、PWM基本概念

利用微处理器FPGA的数字输出对模拟电路进行控制的一种有效技术,数字信号从微处理器到被控系统都为数字形式(控制占空比),为一种连续的具有一定占空比的脉冲信号(方波)。

2、占空比

占空比的概念:

占空比是指在一个脉冲循环内,通电时间相对于总时间所占的比例。占空比(Duty Ratio)在电信领域中有如下含义:例如:脉冲宽度1μs,信号周期4μs的脉冲序列占空比为0.25。

占空比
如图所示,该图片中脉冲的占空比为Ton/T。

3、PWM信号

在这里插入图片描述
如图所示,上述图片为占空比为25%的信号,则该信号的对应电压为高电平电压的25%。
在这里插入图片描述

4、呼吸灯实现方法

通过PWM可以进行数模转换的特性,控制每一个时间段的LED灯的亮度,实现LED灯的亮度变换来实现呼吸灯。


二、呼吸灯实现思路

呼吸灯PWM实现:

由于LED灯先逐渐变亮后逐渐熄灭,可知其波形图为占空比先增大后占空比逐渐减小。
在这里插入图片描述
由图可知,占空比由1us逐渐增加到1000us再由1000us逐渐减小到1us。

三、PWM实现

1.PWM模块

代码如下:

module PWM(
    input           sysclk          ,
    input           rst_n           ,
    output   reg    led             
    );
parameter           TIME_ms = 50_000;
parameter           TIME_us = 50;
parameter           T = 2000;
reg         [15:0]   cnt_ms;
reg         [5:0
  • 2
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值