二选一多路选择器实例及仿真测试下板配置

本文详细介绍了如何使用VerilogHDL设计一个2:1复用器模块,包括模块功能分析、电路图查看、功能仿真实现、管脚分配以及硬件下载流程。作者展示了从新建工程到实际下板操作的完整过程。
摘要由CSDN通过智能技术生成

设计

功能分析

三个输入,一个输出
信号sel为1时,输出为b,否则为a

新建工程

file–new–verilog HDL

在这里插入图片描述

代码段

module mux2_1 (
sel,
a,
b,
out
);
input sel;
input a;
input b;
output out;

assign out = sel?b:a;

endmodule

CTRL + K 开始分析综合
编译报告

综合电路图

Tools – Netlist Viewers ==RTL Viewer 可查看综合后的电路图
在这里插入图片描述在这里插入图片描述

仿真

tb即testbench,测试平台,想象设计的代码放在一个平台上测试

功能仿真代码(前仿真)

给不同时刻的sel不同电平,看输出是否符合预期
timescale 1ns/1ns 设置仿真时间精度,单位为1ns,精度(最小刻度)也是1ns timescale 1ns/1ns
module mux2_1_tb;

reg s0,s1,s2;
wire led;

mux2_1 inst0(
.a(s0),
.b(s1),
.sel(s2),
.out(led)
) ; #将设计的mux2_1模块例化为inst0,原来的input例化为reg型,output例化为 wire 型
initial begin
s2 = 0;s1=0;s0=0;
#20;
s2 = 0;s1=0;s0=1;
#20;
s2 = 0;s1=1;s0=0;
#20;
s2 = 0;s1=1;s0=1;
#20;
s2 = 1;s1=0;s0=0;
#20;
s2 = 1;s1=0;s0=1;
#20;
s2 = 1;s1=1;s0=0;
#20;
s2 = 1;s1=1;s0=1;
#20;

end
endmodule

仿真设置

Assignment–settings – EDA Tool Settings – Simulation
compile test bench – new --Test bench and simulation files --选择tb
测试文件的添加

运行仿真

Tools --Run simulation tool --RTLsimulation
在这里插入图片描述
隐藏变量的路径
在这里插入图片描述
波形分析
在这里插入图片描述

板级验证

Assignment–Pin planer 管脚分配页面
IO标准3.3LVTTL
管脚分配
引脚分配后全编译 CTRL+L,全编译完成后,生成的sof文件位于工程存放目录下新生成的output_file文件夹下

在这里插入图片描述
.qsf文件包含了所有工程约束

下板操作

Tools --Programmer

在这里插入图片描述
硬件设置 USB blaster
add file – ouputfile --.sof文件

实际下板后对未使用的管脚都是分配高电平
在 Assignment --decices -unused pins ,默认是拉高。选择第一个
在这里插入图片描述

  • 30
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值