HDLBits刷题_Fsm1s

This is a Moore state machine with two states, one input, and one output. Implement this state machine. Notice that the reset state is B.

This exercise is the same as fsm1, but using synchronous reset.

Fsm1s.png

解题思路:原代码中else的end位置给错,需要注意 

// Note the Verilog-1995 module declaration syntax here:
module top_module(clk, reset, in, out);
    input clk;
    input reset;    // Synchronous reset to state B
    input in;
    output out;//  
    reg out;

    parameter A = 0, B = 1; // Fill in state name declarations

    reg present_state, next_state;
    
    always @(posedge clk) begin
        if (reset) begin  
            next_state = B;// Fill in reset logic
        end 
        
        else begin
            case (present_state)
                A: next_state = (in == 0) ? B : A;
                B: next_state = (in == 0) ? A : B;// Fill in state transition logic
            endcase
        end

            // State flip-flops
            present_state = next_state;   

            case (present_state)
                A: out = 0;
                B: out = 1;// Fill in output logic
            endcase
        //end
    end

endmodule

 

 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值